US20070087505A1 - Method of forming a semiconductor device - Google Patents

Method of forming a semiconductor device Download PDF

Info

Publication number
US20070087505A1
US20070087505A1 US11/538,760 US53876006A US2007087505A1 US 20070087505 A1 US20070087505 A1 US 20070087505A1 US 53876006 A US53876006 A US 53876006A US 2007087505 A1 US2007087505 A1 US 2007087505A1
Authority
US
United States
Prior art keywords
oxide
gate
providing
level
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/538,760
Inventor
Randhir Thakur
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US11/538,760 priority Critical patent/US20070087505A1/en
Publication of US20070087505A1 publication Critical patent/US20070087505A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28211Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a gaseous ambient using an oxygen or a water vapour, e.g. RTO, possibly through a layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • H01L21/02049Dry cleaning only with gaseous HF
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/3167Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself of anodic oxidation
    • H01L21/31675Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself of anodic oxidation of silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76202Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using a local oxidation of silicon, e.g. LOCOS, SWAMI, SILO
    • H01L21/76221Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using a local oxidation of silicon, e.g. LOCOS, SWAMI, SILO with a plurality of successive local oxidation steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure

Definitions

  • the present invention relates to the formation of semiconductor devices. More particularly, the present invention relates to the formation of an oxide layer as part of a device or as used in the fabrication of the device.
  • oxide films are used in a variety of applications. Oftentimes they are used for scratch protection and passivation purposes. Oxide films are also used as a dielectric or insulative layer, electrically separating various regions or structures. For example, an oxide film can be used as a dielectric between different levels of metal in a semiconductor device. Such a film could also be used for field isolation. Moreover, an oxide film can serve as a gate oxide, wherein the film is provided above an area, such as a semiconductor substrate, having a source region, a drain region, and an interposing channel region. A gate, in turn, is formed on the oxide film.
  • the voltage applied to the gate must reach a particular threshold before overcoming the insulative effects of the oxide and allowing current to flow through the channel.
  • an oxide is formed in order to electrically insulate one device, such as a transistor, from another.
  • providing the oxide typically begins by exposing designated oxide regions of a substrate to an oxidizing ambient through a patterned mask.
  • the mask may be made, for example, of silicon nitride.
  • the substrate represents the surface of a wafer and is comprised generally of silicon. Nevertheless, this invention is understood to cover devices having a substrate comprising any construction made of semiconductive material, including but not limited to bulk semiconductive materials such as a semiconductor wafer (either alone or in assemblies comprising other materials thereon) and semiconductive material layers (either alone or in assemblies comprising other materials).
  • the unprotected portions of the silicon substrate oxidize into silicon dioxide (SiO 2 ).
  • SiO 2 silicon dioxide
  • the silicon at and below the surface of the substrate that oxidizes is often referred to as having been “consumed.” It follows that the amount of silicon consumed can indicate the depth of SiO 2 beneath the substrate's original surface. As a result, greater consumption allows for a greater depth of SiO 2 and, thus, greater electrical isolation between devices or between active areas within a device.
  • the consuming effect of oxide films on silicon serves other purposes as well. For example, greater consumption in a particular area of the wafer allows access to a lower level of silicon within the substrate. Accordingly, removing the oxide results in a wafer topography having different elevations of silicon, depending upon the amount of prior oxidation in each area. This is particularly helpful in embedded dynamic random access memory (DRAM) processing, wherein the memory cell array should be embedded deeper within the wafer than other memory elements.
  • DRAM embedded dynamic random access memory
  • Oxidizing the exposed substrate is often referred to as “growing” the oxide.
  • Oxides can be grown in a “dry” process using oxygen (O 2 ) or in a “wet” process using steam as the oxidizing agent.
  • oxides can be deposited on the substrate with techniques such as sputter deposition or chemical vapor deposition (CVD).
  • Oxide layers have a large impact on device performance due to their role in isolating active device regions and in establishing voltage thresholds for devices. Thus, there is always a need in the art for high quality oxide films. Further, as the dimensions of semiconductor devices are scaled down to enhance circuit density and speed, the oxide films must advance accordingly. Therefore, those skilled in the art are constantly striving to provide oxide films that are thinner and that have a high dielectric constant.
  • defects in the oxide can occur due to the presence of certain constituents within the layer, such as contaminants exposed to the oxide.
  • particulate matter in the process atmosphere is one source of contamination.
  • filters and other techniques attempt to remove particles from the environment, particles that are too small for these techniques to handle may nevertheless end up within the oxide layer.
  • Further attempts at reducing defects have been made by clustering together the chambers for several wafer processes in an environment isolated from and even more controllable than the clean room atmosphere. Transferring the wafers between the clustered chambers can involve the use of a wafer carrier capable of maintaining a vacuum or a nitrogen atmosphere. See, for example, U.S. Pat. No.
  • FIG. 1 is a process flow diagram of one exemplary embodiment of the present invention.
  • FIGS. 2 a through 2 e illustrates a prior art process for forming an embedded DRAM.
  • FIGS. 3 a through 3 c depicts a second exemplary embodiment of the current invention.
  • FIGS. 4 a through 4 e demonstrates a third exemplary embodiment of the current invention.
  • FIG. 5 shows a portion of a flash-electrically erasable programmable read only memory.
  • FIG. 6 illustrates an in-process semiconductor device that is electrically isolated using a shallow trench isolation process.
  • At least one embodiment of the current invention comprises a cleaning stage 20 , a stage involving forming an oxide (or an oxynitride) 22 , and a stage of forming a structure 24 .
  • cleaning stage 20 includes a vapor clean 20 b .
  • this vapor clean 20 b is performed in at least five seconds and occurs at a temperature ranging between 50° and 75° C.
  • This vapor clean 20 b may take the form of an ultraviolet-chlorine clean, wherein ultraviolet light excites and dissociates a gas containing chlorine. As a result, chlorine radicals are generated.
  • This ultraviolet-chlorine clean generally takes between ten and sixty seconds and may occur at pressures less than, greater than, or equal to 760 torr.
  • the temperature for this process is generally between 50° and 150° C. but is preferably between 60° and 80° C.
  • the vapor clean 20 b may comprise an HF vapor clean.
  • the pressure should be 1 mtorr
  • the temperature should be less than 200° C.
  • the exposure to HF should last five to ten seconds.
  • the pH can be established at any level between 1 and 10.
  • Still other alternatives include using tetramethylammonium hydroxide (TMAH) in the vapor clean process to assist in cleaning.
  • TMAH tetramethylammonium hydroxide
  • the TMAH is assumed to have a pH of 3, although one of ordinary skill in the art can appreciate that the pH level can vary according to the particular passivation requirements of a given embodiment.
  • the cleaning stage helps to remove residue from etches executed earlier in the device-formation process.
  • an optional wet clean 20 a may be performed in addition to the vapor clean 20 b .
  • the wet clean 20 a is performed before the vapor clean 20 b .
  • This wet clean step 20 a may be an RCA clean, which involves cleaning solutions developed by RCA. These solutions employ hydrogen peroxide chemistry, the two most common solutions being “standard clean 1” (SC-1) and “standard clean 2” (SC-2).
  • SC- 1 typically comprises ammonium hydroxide, hydrogen peroxide, and deionized water in the following volume ratio: 1 NH 4 OH:1 H 2 O 2 :5 H 2 O.
  • the solution SC- 2 generally has the following composition: 6 H 2 O:1 H 2 O 2 :1 HCl.
  • wafers are immersed in the RCA cleaning solutions for 10 minutes at 20° to 80° C. for each solution, with deionized water rinses between immersions. There is also a final deionized water rinse after all immersions.
  • the wet clean 20 a serves to passivate the wafer by allowing for a termination of the silicon surface with hydrogen atoms. In this way, a hydrophilic or a hydrophobic surface can be prepared.
  • this stage 22 initially involves growing an oxide on the wafer surface 22 c , which consumes the silicon down to a particular level within the substrate.
  • the current invention includes embodiments wherein oxide is deposited 22 b at the initiation of this stage 22 .
  • oxide growth can be accomplished through a rapid thermal oxidation (RTO) process 22 d at a temperature generally ranging from 800° to 1100° C. This RTO process 22 d is carried out until it has produced an oxide thickness of at least generally 10 angstroms.
  • RTO rapid thermal oxidation
  • an ultraviolet-ozone treatment 22 a wherein ultraviolet radiation is used to enhance the oxidation rate of the silicon substrate in an ozone environment.
  • This treatment 22 a is preferably carried out long enough to provide a high-quality oxide layer having a thickness generally ranging from 10 to 15 angstroms. Regardless of whether the oxide is provided in one step or a plurality of steps, it is preferable to have approximately 30 to 40 angstroms of oxide once step 22 d has been completed.
  • oxide 22 g there is an option to provide additional amounts of oxide 22 g .
  • Further growth 22 k from the consumption of silicon may be used to provide oxide similar to that resulting from the initial growth.
  • an oxide, such as Ta 2 O 5 ( 22 h ) could be deposited.
  • a greater oxide contribution in one step may relieve the need to produce more oxide in other steps.
  • This “given thickness” of oxide varies depending on the structures formed, as one skilled in the art can appreciate. Exemplary thicknesses are discussed below.
  • an optional additional cleaning step 22 e such as a second vapor clean 22 f performed after an oxidation step and, preferably, interposed between oxidation steps such as 22 d and 22 g .
  • this vapor clean 22 f some or all of the oxide present may be removed. If a gate dielectric such as Ta 2 O 5 is deposited, some of the oxide provided in a prior step should remain to act as an adhesion layer between the substrate and the gate dielectric.
  • this second vapor clean 22 f also serves to remove defects that would otherwise exist in the finished device.
  • Defective oxides can arise if there are certain constituents, such as contaminants, in the silicon from which the oxide grows. As the silicon is consumed in steps such as 22 d and 22 a , the resulting oxide may retain those contaminants. By removing all or part of this grown oxide, the second vapor clean 22 f also removes those contaminants associated with the removed oxide.
  • FIG. 2 illustrates how this topography is achieved in the prior art.
  • a thin layer of SiO 2 30 is grown on the silicon substrate 32 .
  • FIG. 2 b indicates that the SiO 2 layer 30 is then covered with a mask layer 34 , such as one made of silicon nitride.
  • the mask layer 34 is patterned to expose an area 36 where further oxide growth is desired. Additional oxide growth is then carried out in FIG.
  • FIG. 2 d the mask 34 and SiO 2 layer 30 are removed, leaving behind a bi-level topography for the substrate 32 . It is preferred that the resulting levels be parallel, but differences in slopes are allowable to the extent that the devices would still be functional. Further oxidation and masking steps are carried out to form structures such as the field oxide isolation regions 38 and gate oxide regions 40 and 41 depicted in FIG. 2 e .
  • This prior art method depends on the higher levels of the substrate 32 being relatively free of constituents such as contaminants. Should the substrate 32 be contaminated in an area under the mask layer 34 , then the gate oxide 40 formed by consuming silicon in that area will also be contaminated.
  • FIG. 3 a depicts the unoxidized substrate 32 having contaminants 42 . Some of these contaminants 42 become part of the grown SiO 2 layer 30 after oxidation, as depicted in FIG. 3 b .
  • the effect of the second vapor clean step 22 f , shown in FIG. 1 can be seen in FIG. 3 c , wherein the SiO 2 layer 30 , along with its associated contaminants 42 , is removed. Subsequent oxidation, masking, and removal steps may then be taken to achieve the bi-level topography needed for embedded DRAM devices. Assuming some contaminants 42 remain in the substrate 32 , the subsequent oxidation and removal steps will preferably eliminate most if not all of these contaminants 42 .
  • FIG. 4 a once again shows the substrate 32 with the SiO 2 layer 30 grown on top.
  • FIG. 4 b depicts the in-process wafer after the second vapor clean 22 f , wherein only part of the SiO 2 layer 30 has been removed as a result of that cleaning.
  • this partial oxide removal or subsequent oxide removals will eliminate most if not all of any existing contaminants or other undesired constituents within the SiO 2 layer. Assuming an exemplary embedded memory topography is desired, it is preferred that approximately 40 angstroms of the SiO 2 layer 30 remain after the second vapor clean 22 f .
  • the mask layer 34 is then provided and patterned to expose the area 36 . If desired, further cleaning steps could be taken to remove more of the exposed SiO 2 layer 30 before additional oxide is grown. Alternatively, one may retain the existing SiO 2 layer and continue to grow more oxide, as demonstrated in FIG. 4 d . Regardless of whether this latest cleaning is performed, it is preferred that the final thickness of the SiO 2 layer 30 in the exposed area 36 be within a range of approximately 70 to 90 angstroms for this exemplary embedded memory structure. Removing the SiO 2 layer 30 and the mask layer 34 reveals the bi-level topography of the wafer of FIG. 4 e . Field oxide regions and gate oxide regions may then be formed by the oxidation/cleaning steps described in the above embodiments or by a method known in the art.
  • a nitridation step 22 j (seen in FIG. 1 ) may be performed on the oxide layer.
  • One possible way to carry out this step 22 j involves rapid thermal nitridation (RTN), wherein ammonia (Nh 3 ) is introduced to the wafer environment and heated with a source, such as a halogen lamp, to a temperature generally ranging from 850° to 1050° C. As a result of this process, the oxide film is rapidly changed to an oxynitride film.
  • RTN rapid thermal nitridation
  • an optional conditioning step 22 i may be performed.
  • this conditioning step 22 i comprises hardening the oxide in a nitridizing ambient, such as NO or N 2 O.
  • a nitridizing ambient such as NO or N 2 O.
  • the conditioning step can alternatively include exposure to an ambient comprised of Nh 3 ; dichlorosilane (SiCl 2 H 2 ) and Nh 3 ; an oxygen environment, such as ozone; N 2 ; Ar; or H 2 .
  • This exposure would last for at least ten seconds at preferably five to fifteen atmospheres and at a temperature generally between 500° to 750° C.
  • Another conditioning alternative involves steam oxidation, wherein the dielectric is exposed to a mixture of H 2 and O 2 .
  • a plasma torch is not used; rather, H 2 and O 2 are brought together within the process chamber at a pressure of generally one atmosphere or lower and at a temperature generally ranging from 500° to 900° C.
  • an embodiment of the current invention is used to provide a gate oxide, one advantage will be to reduce the current leakage of a device or, at least, counteract factors that could increase the leakage.
  • the cleaning, oxidizing, and conditioning steps described above have uses in addition to altering the topography of the silicon substrate and to providing some form of gate oxide. These steps, for example, can be used to form the tunnel oxide 44 of a flash memory cell 46 depicted in FIG. 5 , as well as the entire oxide 48 for that cell 46 .
  • the flash memory cell 46 pictured is more specifically a flash-electrically erasable programmable read only memory (flash-EEPROM) cell formed in a p-type substrate 50 having n-type source and drain regions 52 , 54 .
  • flash-EEPROM flash-electrically erasable programmable read only memory
  • a floating gate 56 is provided within the oxide 48 .
  • a control gate 58 is also within oxide 48 and is located over the floating gate 56 .
  • the floating gate 56 is separated from the substrate by the tunnel oxide 44 .
  • This tunnel oxide 44 is a relatively thin oxide layer; while it can be as thin as thirty to forty angstroms, it is preferably closer to seventy to one hundred angstroms thin.
  • the tunnel oxide 44 is so named because memory programming and erasing is accomplished by way of Fowler-Nordheim tunneling through this tunnel oxide 44 .
  • the tunnel oxide 44 could be provided through an initial oxidation step with a cleaning to reduce the oxide to a desired thickness.
  • the oxide could be completely cleaned away, allowing a subsequent oxidation step to form the tunnel oxide 44 at a lower level of the substrate 50 .
  • the rest of the oxide 48 could be formed by an additional oxidation step or by still more oxidation/cleaning cycles.
  • these cleaning, oxidizing, and conditioning steps can be used to provide a gate oxide for devices isolated using a shallow trench isolation (STI) process.
  • STI shallow trench isolation
  • FIG. 6 Such a device in-progress appears in FIG. 6 , wherein an etched substrate 60 defines trenches 62 that electrically isolate one cell site from another.
  • the gate oxide 66 is grown in a high-pressure oxidation environment using the steps described above. For instance, the gate oxide 66 could be grown at a pressure generally ranging from five to fifteen atmospheres.
  • the specific steps taken within the stage of forming a structure 24 will depend in part on the context in which the oxide is formed—such as whether it is formed for a gate in an embedded DRAM or to sculpt the substrate to accommodate such a gate, for a floating gate in a tunnel oxide, for a gate in an STI cell, or for other structures.
  • the stage of forming a structure 24 will involve a step of forming a gate 24 a which, in turn, is often formed by depositing a polysilicon film.
  • a silicon-germanium film may be provided as an option by doping the polysilicon film with germanium, wherein the germanium concentration may generally range from 2% to 25%.
  • Other alternatives to depositing polysilicon include depositing tantalum nitride, titanium nitride, and tungsten nitride.
  • the wafer may undergo further processing, such as steps taken to form word lines. It should also be noted that, in a preferred embodiment, it is desirable to cluster the steps from the first vapor clean 20 b to the stage involving forming a structure 24 . Of course, in an even more preferred embodiment, every step would be clustered. This would serve to further reduce the constituents such as contaminants that might appear in an oxide as well as other layers.
  • this documents discusses, among other things, methods for providing an oxide layer during the processing of a semiconductor device.
  • One exemplary embodiment relates to a method wherein an oxide is provided on a substrate surface and is then subjected to a cleaning process, followed by a provision of still more oxide.
  • the oxide in either step could be grown or deposited.
  • the cleaning step may be used to remove all or some of the first provision of oxide.
  • This embodiment has the advantage of removing any oxide that may carry constituents such as contaminants that were part of the underlying substrate.
  • this embodiment can be used to provide a more contaminant-free oxide for a semiconductor device.
  • this embodiment can be used to selectively consume portions of a substrate, thereby allowing memory structures such as embedded memories to be formed within the lower elevations of the substrate.
  • Another exemplary embodiment allows for providing a gate dielectric having a high dielectric constant.
  • dielectrics include oxides such as tantalum pentoxide (Ta 2 O 5 ), or layers produced through rapid thermal nitridation (RTN), such as oxynitrides.
  • a layer of oxide or oxynitride serves as an adhesion layer between the substrate and the subsequently deposited Ta 2 O 5 .
  • a cleaning step between providing the adhesion layer and providing the Ta 2 O 5 layer is optional.
  • One advantage of this embodiment is that leakage current can be improved.
  • Yet another exemplary embodiment covers a range of steps for processing the semiconductor device, including a vapor clean, an initial oxide growth or deposition, a subsequent oxide growth or deposition, an optional second vapor clean between the two oxide steps, an oxide hardening, and the formation of an electrode over the second oxide.
  • these steps are clustered, wherein transportation between the various processes are performed in a common controlled environment, such as a nitrogen atmosphere or a vacuum.
  • the cluster process environment lowers the amount of contaminants having access to the in-process semiconductor device, and the cleaning steps help to negate the effects of any contaminants that appear within the device despite the attempts to control the environment.

Abstract

In the formation of semiconductor devices, a processing method is provided, including steps for forming an oxide layer. The embodied methods involve a series of oxidation steps, with optional interposed cleanings, as well as an optional conditioning step after oxidation. In a preferred embodiment, these steps are clustered and transportation between the clustered process chambers takes place in a controlled environment such as nitrogen or a vacuum. In some embodiments, the method provides an oxide layer to be used as part of the device, such as a tunnel oxide for a flash-EEPROM, or as a general gate oxide. Alternatively, the steps can be used to sculpt through oxidation various levels of a substrate, thereby allowing for embedded memory architecture. Cleaning between oxidation steps offers the advantage of providing a more defect-free oxide layer or providing access to a more defect-free level of substrate.

Description

    RELATED APPLICATIONS
  • This application is a divisional of application Ser. No. 10/133,132, filed Apr. 25, 2002; which is a divisional of application Ser. No. 09/652,723, filed Aug. 31, 2000, now U.S. Pat. No. 6,589,877; which is a divisional of application Ser. No. 09/017,453, filed Feb. 2, 1998, now U.S. Pat. No. 6,475,927.
  • TECHNICAL FIELD
  • The present invention relates to the formation of semiconductor devices. More particularly, the present invention relates to the formation of an oxide layer as part of a device or as used in the fabrication of the device.
  • BACKGROUND OF THE INVENTION
  • In the semiconductor industry, oxide films are used in a variety of applications. Oftentimes they are used for scratch protection and passivation purposes. Oxide films are also used as a dielectric or insulative layer, electrically separating various regions or structures. For example, an oxide film can be used as a dielectric between different levels of metal in a semiconductor device. Such a film could also be used for field isolation. Moreover, an oxide film can serve as a gate oxide, wherein the film is provided above an area, such as a semiconductor substrate, having a source region, a drain region, and an interposing channel region. A gate, in turn, is formed on the oxide film. As a result, the voltage applied to the gate must reach a particular threshold before overcoming the insulative effects of the oxide and allowing current to flow through the channel. When used as field isolation, an oxide is formed in order to electrically insulate one device, such as a transistor, from another.
  • Whether for field isolation purposes or for application in the gate stack of a transistor, providing the oxide typically begins by exposing designated oxide regions of a substrate to an oxidizing ambient through a patterned mask. The mask may be made, for example, of silicon nitride. For purposes of explaining the current invention, it is assumed that the substrate represents the surface of a wafer and is comprised generally of silicon. Nevertheless, this invention is understood to cover devices having a substrate comprising any construction made of semiconductive material, including but not limited to bulk semiconductive materials such as a semiconductor wafer (either alone or in assemblies comprising other materials thereon) and semiconductive material layers (either alone or in assemblies comprising other materials). Upon exposure to the oxidizing ambient, the unprotected portions of the silicon substrate oxidize into silicon dioxide (SiO2). The silicon at and below the surface of the substrate that oxidizes is often referred to as having been “consumed.” It follows that the amount of silicon consumed can indicate the depth of SiO2 beneath the substrate's original surface. As a result, greater consumption allows for a greater depth of SiO2 and, thus, greater electrical isolation between devices or between active areas within a device.
  • The consuming effect of oxide films on silicon serves other purposes as well. For example, greater consumption in a particular area of the wafer allows access to a lower level of silicon within the substrate. Accordingly, removing the oxide results in a wafer topography having different elevations of silicon, depending upon the amount of prior oxidation in each area. This is particularly helpful in embedded dynamic random access memory (DRAM) processing, wherein the memory cell array should be embedded deeper within the wafer than other memory elements.
  • Oxidizing the exposed substrate, as discussed above, is often referred to as “growing” the oxide. Oxides can be grown in a “dry” process using oxygen (O2) or in a “wet” process using steam as the oxidizing agent. As an alternative to growing, oxides can be deposited on the substrate with techniques such as sputter deposition or chemical vapor deposition (CVD).
  • Oxide layers have a large impact on device performance due to their role in isolating active device regions and in establishing voltage thresholds for devices. Thus, there is always a need in the art for high quality oxide films. Further, as the dimensions of semiconductor devices are scaled down to enhance circuit density and speed, the oxide films must advance accordingly. Therefore, those skilled in the art are constantly striving to provide oxide films that are thinner and that have a high dielectric constant.
  • However, during the deposition or growth of oxides, defects in the oxide can occur due to the presence of certain constituents within the layer, such as contaminants exposed to the oxide. For example, particulate matter in the process atmosphere is one source of contamination. Even when the oxide or other layers are developed in a “clean room” environment, wherein filters and other techniques attempt to remove particles from the environment, particles that are too small for these techniques to handle may nevertheless end up within the oxide layer. Further attempts at reducing defects have been made by clustering together the chambers for several wafer processes in an environment isolated from and even more controllable than the clean room atmosphere. Transferring the wafers between the clustered chambers can involve the use of a wafer carrier capable of maintaining a vacuum or a nitrogen atmosphere. See, for example, U.S. Pat. No. 5,613,821 and U.S. Pat. No. 5,344,365. Nonetheless, there is a constant need in the art for further lowering the number of defects in oxide films, including a need for methods of handling contaminants that find their way to the wafer despite the controlled environment.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a process flow diagram of one exemplary embodiment of the present invention.
  • FIGS. 2 a through 2 e illustrates a prior art process for forming an embedded DRAM.
  • FIGS. 3 a through 3 c depicts a second exemplary embodiment of the current invention.
  • FIGS. 4 a through 4 e demonstrates a third exemplary embodiment of the current invention.
  • FIG. 5 shows a portion of a flash-electrically erasable programmable read only memory.
  • FIG. 6 illustrates an in-process semiconductor device that is electrically isolated using a shallow trench isolation process.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • As seen in FIG. 1, at least one embodiment of the current invention comprises a cleaning stage 20, a stage involving forming an oxide (or an oxynitride) 22, and a stage of forming a structure 24. These basic stages, 20, 22, and 24, further comprise more detailed steps. For example, cleaning stage 20 includes a vapor clean 20 b. In many cases this vapor clean 20 b is performed in at least five seconds and occurs at a temperature ranging between 50° and 75° C. This vapor clean 20 b may take the form of an ultraviolet-chlorine clean, wherein ultraviolet light excites and dissociates a gas containing chlorine. As a result, chlorine radicals are generated. These chlorine radicals act as gettering agents, penetrating the oxide layer and bonding with constituents therein, such as contaminants. These radicals are particularly useful in neutralizing the harm caused by metallic constituents within an oxide layer. This ultraviolet-chlorine clean generally takes between ten and sixty seconds and may occur at pressures less than, greater than, or equal to 760 torr. The temperature for this process is generally between 50° and 150° C. but is preferably between 60° and 80° C.
  • Alternatively, the vapor clean 20 b may comprise an HF vapor clean. As one example of the parameters needed for an HF vapor clean, the pressure should be 1 mtorr, the temperature should be less than 200° C., and the exposure to HF should last five to ten seconds. The pH can be established at any level between 1 and 10. Still other alternatives include using tetramethylammonium hydroxide (TMAH) in the vapor clean process to assist in cleaning. For purposes of explaining the current invention, the TMAH is assumed to have a pH of 3, although one of ordinary skill in the art can appreciate that the pH level can vary according to the particular passivation requirements of a given embodiment. Also for purposes of explanation, it is assumed that a wafer is undergoing the methods of the current invention, although this is not required to practice the invention, as individual devices could also be processed. In general, the cleaning stage helps to remove residue from etches executed earlier in the device-formation process.
  • As a part of the cleaning stage 20, an optional wet clean 20 a may be performed in addition to the vapor clean 20 b. Preferably, the wet clean 20 a is performed before the vapor clean 20 b. This wet clean step 20 a may be an RCA clean, which involves cleaning solutions developed by RCA. These solutions employ hydrogen peroxide chemistry, the two most common solutions being “standard clean 1” (SC-1) and “standard clean 2” (SC-2). The solution SC-1 typically comprises ammonium hydroxide, hydrogen peroxide, and deionized water in the following volume ratio: 1 NH4OH:1 H2O2:5 H2O. The solution SC-2 generally has the following composition: 6 H2O:1 H2O2:1 HCl. Typically wafers are immersed in the RCA cleaning solutions for 10 minutes at 20° to 80° C. for each solution, with deionized water rinses between immersions. There is also a final deionized water rinse after all immersions. The wet clean 20 a serves to passivate the wafer by allowing for a termination of the silicon surface with hydrogen atoms. In this way, a hydrophilic or a hydrophobic surface can be prepared.
  • Once the wafer surface has been cleaned, it is ready for oxide or oxynitride formation, as indicated by stage 22. In many embodiments, this stage 22 initially involves growing an oxide on the wafer surface 22 c, which consumes the silicon down to a particular level within the substrate. However, the current invention includes embodiments wherein oxide is deposited 22 b at the initiation of this stage 22.
  • In at least one embodiment, oxide growth can be accomplished through a rapid thermal oxidation (RTO) process 22 d at a temperature generally ranging from 800° to 1100° C. This RTO process 22 d is carried out until it has produced an oxide thickness of at least generally 10 angstroms.
  • In addition to this initial step of growing an oxide, there are additional steps which may take place to enhance the oxide formation stage 22. For instance, after the vapor clean 20 b has been performed, yet before the RTO process 22 d begins, it may be beneficial to induce chemical oxide growth on the wafer through an ultraviolet-ozone treatment 22 a, wherein ultraviolet radiation is used to enhance the oxidation rate of the silicon substrate in an ozone environment. This treatment 22 a is preferably carried out long enough to provide a high-quality oxide layer having a thickness generally ranging from 10 to 15 angstroms. Regardless of whether the oxide is provided in one step or a plurality of steps, it is preferable to have approximately 30 to 40 angstroms of oxide once step 22 d has been completed.
  • Once the ultraviolet-ozone treatment 22 a and the RTO process 22 dhave been performed, there is an option to provide additional amounts of oxide 22 g. Further growth 22 k from the consumption of silicon may be used to provide oxide similar to that resulting from the initial growth. Alternatively, an oxide, such as Ta2O5 (22 h), could be deposited. Regardless of the precise methods of providing oxides in these steps 22 d, 22 a, and 22 g, they are understood to cooperate in achieving a given thickness of oxide at the end of the oxide formation stage 22. Thus, a greater oxide contribution in one step may relieve the need to produce more oxide in other steps. This “given thickness” of oxide varies depending on the structures formed, as one skilled in the art can appreciate. Exemplary thicknesses are discussed below.
  • Achieving this given thickness of oxide is further aided by an optional additional cleaning step 22 e, such as a second vapor clean 22 f performed after an oxidation step and, preferably, interposed between oxidation steps such as 22 d and 22 g. As a result of this vapor clean 22 f, some or all of the oxide present may be removed. If a gate dielectric such as Ta2O5 is deposited, some of the oxide provided in a prior step should remain to act as an adhesion layer between the substrate and the gate dielectric. In addition to curing any problems that might result from an inadvertent overgrowth of oxide, this second vapor clean 22 f also serves to remove defects that would otherwise exist in the finished device. Defective oxides can arise if there are certain constituents, such as contaminants, in the silicon from which the oxide grows. As the silicon is consumed in steps such as 22 d and 22 a, the resulting oxide may retain those contaminants. By removing all or part of this grown oxide, the second vapor clean 22 f also removes those contaminants associated with the removed oxide.
  • While the amount of oxide at the end of this stage 22 may have a generally constant thickness, such a limitation is not necessary for the present invention. In fact, providing for variations in oxide thickness is actually desired in certain circumstances, such as in providing the topography needed for embedded DRAM memory devices. FIG. 2 illustrates how this topography is achieved in the prior art. As seen in FIG. 2 a, a thin layer of SiO 2 30 is grown on the silicon substrate 32. FIG. 2 b indicates that the SiO2 layer 30 is then covered with a mask layer 34, such as one made of silicon nitride. The mask layer 34 is patterned to expose an area 36 where further oxide growth is desired. Additional oxide growth is then carried out in FIG. 2 c, resulting in more silicon being consumed in the exposed area 36, while most of the SiO2 layer 30 under the silicon nitride mask 34 retains its original thickness. In FIG. 2 d, the mask 34 and SiO2 layer 30 are removed, leaving behind a bi-level topography for the substrate 32. It is preferred that the resulting levels be parallel, but differences in slopes are allowable to the extent that the devices would still be functional. Further oxidation and masking steps are carried out to form structures such as the field oxide isolation regions 38 and gate oxide regions 40 and 41 depicted in FIG. 2 e. This prior art method, however, depends on the higher levels of the substrate 32 being relatively free of constituents such as contaminants. Should the substrate 32 be contaminated in an area under the mask layer 34, then the gate oxide 40 formed by consuming silicon in that area will also be contaminated.
  • At least one embodiment of the current invention is free of such dependency. FIG. 3 a depicts the unoxidized substrate 32 having contaminants 42. Some of these contaminants 42 become part of the grown SiO2 layer 30 after oxidation, as depicted in FIG. 3 b. The effect of the second vapor clean step 22 f, shown in FIG. 1, can be seen in FIG. 3 c, wherein the SiO2 layer 30, along with its associated contaminants 42, is removed. Subsequent oxidation, masking, and removal steps may then be taken to achieve the bi-level topography needed for embedded DRAM devices. Assuming some contaminants 42 remain in the substrate 32, the subsequent oxidation and removal steps will preferably eliminate most if not all of these contaminants 42.
  • Moreover, it is not necessary to completely remove the initial SiO2 layer 30, as shown in the embodiment depicted in FIGS. 4 a through 4 e. FIG. 4 a once again shows the substrate 32 with the SiO2 layer 30 grown on top. FIG. 4 b depicts the in-process wafer after the second vapor clean 22 f, wherein only part of the SiO2 layer 30 has been removed as a result of that cleaning. Preferably, this partial oxide removal or subsequent oxide removals will eliminate most if not all of any existing contaminants or other undesired constituents within the SiO2 layer. Assuming an exemplary embedded memory topography is desired, it is preferred that approximately 40 angstroms of the SiO2 layer 30 remain after the second vapor clean 22 f. The mask layer 34 is then provided and patterned to expose the area 36. If desired, further cleaning steps could be taken to remove more of the exposed SiO2 layer 30 before additional oxide is grown. Alternatively, one may retain the existing SiO2 layer and continue to grow more oxide, as demonstrated in FIG. 4 d. Regardless of whether this latest cleaning is performed, it is preferred that the final thickness of the SiO2 layer 30 in the exposed area 36 be within a range of approximately 70 to 90 angstroms for this exemplary embedded memory structure. Removing the SiO2 layer 30 and the mask layer 34 reveals the bi-level topography of the wafer of FIG. 4 e. Field oxide regions and gate oxide regions may then be formed by the oxidation/cleaning steps described in the above embodiments or by a method known in the art.
  • If an oxynitride layer is desired, then a nitridation step 22 j (seen in FIG. 1) may be performed on the oxide layer. One possible way to carry out this step 22 j involves rapid thermal nitridation (RTN), wherein ammonia (Nh3) is introduced to the wafer environment and heated with a source, such as a halogen lamp, to a temperature generally ranging from 850° to 1050° C. As a result of this process, the oxide film is rapidly changed to an oxynitride film.
  • Regardless of the particular devices being developed on the wafer, once the correct amount of oxide or oxynitride is present, an optional conditioning step 22 i may be performed. In at least one embodiment, this conditioning step 22 i comprises hardening the oxide in a nitridizing ambient, such as NO or N2O. In other embodiments, particularly those involving high dielectric constant materials such as Ta2O5, the conditioning step can alternatively include exposure to an ambient comprised of Nh3; dichlorosilane (SiCl2H2) and Nh3; an oxygen environment, such as ozone; N2; Ar; or H2. This exposure would last for at least ten seconds at preferably five to fifteen atmospheres and at a temperature generally between 500° to 750° C. Another conditioning alternative involves steam oxidation, wherein the dielectric is exposed to a mixture of H2 and O2. Those skilled in the art understand that such a mixture may be generated by using a plasma torch. The current invention, however, also includes a conditioning step wherein a plasma torch is not used; rather, H2 and O2 are brought together within the process chamber at a pressure of generally one atmosphere or lower and at a temperature generally ranging from 500° to 900° C.
  • If an embodiment of the current invention is used to provide a gate oxide, one advantage will be to reduce the current leakage of a device or, at least, counteract factors that could increase the leakage. Moreover, the cleaning, oxidizing, and conditioning steps described above have uses in addition to altering the topography of the silicon substrate and to providing some form of gate oxide. These steps, for example, can be used to form the tunnel oxide 44 of a flash memory cell 46 depicted in FIG. 5, as well as the entire oxide 48 for that cell 46. The flash memory cell 46 pictured is more specifically a flash-electrically erasable programmable read only memory (flash-EEPROM) cell formed in a p-type substrate 50 having n-type source and drain regions 52, 54. Over the substrate 50, a floating gate 56 is provided within the oxide 48. A control gate 58 is also within oxide 48 and is located over the floating gate 56. The floating gate 56 is separated from the substrate by the tunnel oxide 44. This tunnel oxide 44 is a relatively thin oxide layer; while it can be as thin as thirty to forty angstroms, it is preferably closer to seventy to one hundred angstroms thin. The tunnel oxide 44 is so named because memory programming and erasing is accomplished by way of Fowler-Nordheim tunneling through this tunnel oxide 44. Thus, through an embodiment of the current invention, the tunnel oxide 44 could be provided through an initial oxidation step with a cleaning to reduce the oxide to a desired thickness. Alternatively, the oxide could be completely cleaned away, allowing a subsequent oxidation step to form the tunnel oxide 44 at a lower level of the substrate 50. The rest of the oxide 48 could be formed by an additional oxidation step or by still more oxidation/cleaning cycles.
  • As another example, these cleaning, oxidizing, and conditioning steps can be used to provide a gate oxide for devices isolated using a shallow trench isolation (STI) process. Such a device in-progress appears in FIG. 6, wherein an etched substrate 60 defines trenches 62 that electrically isolate one cell site from another. After providing a trench fill 64, the gate oxide 66 is grown in a high-pressure oxidation environment using the steps described above. For instance, the gate oxide 66 could be grown at a pressure generally ranging from five to fifteen atmospheres.
  • Given these varying environments for the oxide, the specific steps taken within the stage of forming a structure 24 will depend in part on the context in which the oxide is formed—such as whether it is formed for a gate in an embedded DRAM or to sculpt the substrate to accommodate such a gate, for a floating gate in a tunnel oxide, for a gate in an STI cell, or for other structures. many instances, the stage of forming a structure 24 will involve a step of forming a gate 24 a which, in turn, is often formed by depositing a polysilicon film. Moreover, a silicon-germanium film may be provided as an option by doping the polysilicon film with germanium, wherein the germanium concentration may generally range from 2% to 25%. Other alternatives to depositing polysilicon include depositing tantalum nitride, titanium nitride, and tungsten nitride.
  • Once the stage of forming a structure 24 has been completed, the wafer may undergo further processing, such as steps taken to form word lines. It should also be noted that, in a preferred embodiment, it is desirable to cluster the steps from the first vapor clean 20 b to the stage involving forming a structure 24. Of course, in an even more preferred embodiment, every step would be clustered. This would serve to further reduce the constituents such as contaminants that might appear in an oxide as well as other layers.
  • In general, this documents discusses, among other things, methods for providing an oxide layer during the processing of a semiconductor device. One exemplary embodiment relates to a method wherein an oxide is provided on a substrate surface and is then subjected to a cleaning process, followed by a provision of still more oxide. The oxide in either step could be grown or deposited. Moreover, the cleaning step may be used to remove all or some of the first provision of oxide. This embodiment has the advantage of removing any oxide that may carry constituents such as contaminants that were part of the underlying substrate. Thus, this embodiment can be used to provide a more contaminant-free oxide for a semiconductor device. Alternatively, this embodiment can be used to selectively consume portions of a substrate, thereby allowing memory structures such as embedded memories to be formed within the lower elevations of the substrate.
  • Another exemplary embodiment allows for providing a gate dielectric having a high dielectric constant. Such dielectrics include oxides such as tantalum pentoxide (Ta2O5), or layers produced through rapid thermal nitridation (RTN), such as oxynitrides. In this embodiment, a layer of oxide or oxynitride serves as an adhesion layer between the substrate and the subsequently deposited Ta2O5. A cleaning step between providing the adhesion layer and providing the Ta2O5 layer is optional. One advantage of this embodiment is that leakage current can be improved.
  • Yet another exemplary embodiment covers a range of steps for processing the semiconductor device, including a vapor clean, an initial oxide growth or deposition, a subsequent oxide growth or deposition, an optional second vapor clean between the two oxide steps, an oxide hardening, and the formation of an electrode over the second oxide. In a more preferred version of this embodiment, these steps are clustered, wherein transportation between the various processes are performed in a common controlled environment, such as a nitrogen atmosphere or a vacuum. The cluster process environment lowers the amount of contaminants having access to the in-process semiconductor device, and the cleaning steps help to negate the effects of any contaminants that appear within the device despite the attempts to control the environment.
  • Finally, one skilled in the art can appreciate that, although specific embodiments of this invention have been described above for purposes of illustration, various modifications may be made without departing from the spirit and scope of the invention. For example, providing oxide under the current invention is not limited to at most two oxidation steps; any number of oxidation steps and cleaning steps as needed are covered, as shown by the arrow in FIG. 1 looping from step 22 g back to 22 e. Further, any masking steps that might be needed to allow oxidation and cleaning in selected areas are also included. Accordingly, the invention is not limited except as stated in the claims.

Claims (23)

1. A method for forming a semiconductor device, comprising:
removing a contaminant from a surface of the semiconductor device;
forming a first oxide over the surface;
forming a second oxide over the surface;
forming an electrode over the surface; and
clustering the removing the contaminant, the providing the first oxide, the providing the second oxide, and the providing the electrode over the surface.
2. The method of claim 1, wherein providing the first oxide over the surface comprises growing oxide on the surface.
3. The method of claim 2, wherein growing the oxide on the surface comprises rapidly thermally oxidizing the surface.
4. The method of claim 3, wherein growing the oxide on the surface comprises inducing chemical oxide growth through an ultraviolet-ozone treatment before rapidly thermally oxidizing the surface.
5. The method of claim 4, wherein providing the second oxide comprises depositing the second oxide onto the grown oxide.
6. The method of claim 4, wherein providing the second oxide comprises providing an oxide having a composition similar to a composition of the first oxide.
7. A method for processing a semiconductor device, comprising:
performing a vapor clean on the semiconductor device;
growing a first amount of oxide on the semiconductor device;
depositing a second amount of oxide on the semiconductor device; and
forming a gate on the second amount of oxide.
8. The method of claim 7, further comprising gettering the first amount of oxide.
9. The method of claim 8, wherein forming the gate comprises depositing polysilicon on the second amount of oxide.
10. The method of claim 9, wherein forming the gate comprises doping the polysilicon with germanium.
11. The method of claim 10, wherein doping the polysilicon with germanium comprises providing a germanium concentration generally ranging from 2% to 25% within the polysilicon.
12. A method for forming a gate for a semiconductor device, comprising:
bonding contaminants at a level of the semiconductor device;
providing an adhesion layer at a gate site on the level;
forming a gate oxide made of tantalum pentoxide over the gate site; and
providing a gate material over the gate oxide.
13. The method of claim 12, wherein providing the adhesion layer comprises providing an oxynitride layer over the level.
14. The method of claim 13, further comprising conditioning the gate oxide.
15. The method of claim 14, wherein conditioning the gate oxide comprises hardening the gate oxide.
16. The method of claim 15, wherein conditioning the gate oxide comprises exposing the gate oxide to a nitridizing ambient.
17. The method of claim 16, wherein providing the gate material comprises depositing a material selected from a group of materials comprising titanium nitride and tungsten nitride.
18. The method of claim 14, wherein conditioning the gate oxide comprises performing steam oxidation of the gate oxide.
19. A method for developing a semiconductor device, comprising:
cleaning a level of the semiconductor device;
furnishing a first oxide layer at the level;
cleaning the first oxide layer;
furnishing a second oxide layer over the level;
hardening the second oxide layer; and
furnishing an electrode on the second oxide layer.
20. The method of claim 19, wherein cleaning the level comprises:
removing a residue from the level; and
neutralizing a contaminant within the level.
21. The method of claim 20, wherein removing the residue comprises passivating the level.
22. The method of claim 21, wherein furnishing the first oxide layer at the level comprises:
providing an ozone environment at the level; and
irradiating the ozone environment with ultraviolet radiation.
23. The method of claim 22, wherein furnishing the first oxide layer at the level comprises performing rapid thermal oxidation at the level.
US11/538,760 1998-02-02 2006-10-04 Method of forming a semiconductor device Abandoned US20070087505A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/538,760 US20070087505A1 (en) 1998-02-02 2006-10-04 Method of forming a semiconductor device

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US09/017,453 US6475927B1 (en) 1998-02-02 1998-02-02 Method of forming a semiconductor device
US09/652,723 US6589877B1 (en) 1998-02-02 2000-08-31 Method of providing an oxide
US10/133,132 US7135417B2 (en) 1998-02-02 2002-04-25 Method of forming a semiconductor device
US11/538,760 US20070087505A1 (en) 1998-02-02 2006-10-04 Method of forming a semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/133,132 Division US7135417B2 (en) 1998-02-02 2002-04-25 Method of forming a semiconductor device

Publications (1)

Publication Number Publication Date
US20070087505A1 true US20070087505A1 (en) 2007-04-19

Family

ID=21782671

Family Applications (5)

Application Number Title Priority Date Filing Date
US09/017,453 Expired - Lifetime US6475927B1 (en) 1998-02-02 1998-02-02 Method of forming a semiconductor device
US09/652,723 Expired - Lifetime US6589877B1 (en) 1998-02-02 2000-08-31 Method of providing an oxide
US10/133,132 Expired - Fee Related US7135417B2 (en) 1998-02-02 2002-04-25 Method of forming a semiconductor device
US11/538,760 Abandoned US20070087505A1 (en) 1998-02-02 2006-10-04 Method of forming a semiconductor device
US11/538,765 Abandoned US20070087506A1 (en) 1998-02-02 2006-10-04 Method of forming a semiconductor device

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US09/017,453 Expired - Lifetime US6475927B1 (en) 1998-02-02 1998-02-02 Method of forming a semiconductor device
US09/652,723 Expired - Lifetime US6589877B1 (en) 1998-02-02 2000-08-31 Method of providing an oxide
US10/133,132 Expired - Fee Related US7135417B2 (en) 1998-02-02 2002-04-25 Method of forming a semiconductor device

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/538,765 Abandoned US20070087506A1 (en) 1998-02-02 2006-10-04 Method of forming a semiconductor device

Country Status (8)

Country Link
US (5) US6475927B1 (en)
EP (1) EP1051744B1 (en)
JP (1) JP3360300B2 (en)
KR (1) KR100415523B1 (en)
AT (1) ATE409356T1 (en)
AU (1) AU2462199A (en)
DE (1) DE69939620D1 (en)
WO (1) WO1999039384A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090142903A1 (en) * 2007-11-30 2009-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Chip on wafer bonder
US20100009528A1 (en) * 2008-07-08 2010-01-14 Semiconductor Manufacturing International (Shanghai) Corporation Method for Rapid Thermal Treatment Using High Energy Electromagnetic Radiation of a Semiconductor Substrate for Formation of Dielectric Films
US10453745B2 (en) 2015-07-28 2019-10-22 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4493796B2 (en) * 2000-03-30 2010-06-30 東京エレクトロン株式会社 Method for forming dielectric film
JP3941099B2 (en) * 2001-12-19 2007-07-04 ソニー株式会社 Thin film formation method
US6821904B2 (en) * 2002-07-30 2004-11-23 Chartered Semiconductor Manufacturing Ltd. Method of blocking nitrogen from thick gate oxide during dual gate CMP
US6893920B2 (en) * 2002-09-12 2005-05-17 Promos Technologies, Inc. Method for forming a protective buffer layer for high temperature oxide processing
JP3946130B2 (en) * 2002-11-20 2007-07-18 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
US6933235B2 (en) * 2002-11-21 2005-08-23 The Regents Of The University Of North Texas Method for removing contaminants on a substrate
US6916744B2 (en) * 2002-12-19 2005-07-12 Applied Materials, Inc. Method and apparatus for planarization of a material by growing a sacrificial film with customized thickness profile
US7658973B2 (en) * 2003-02-04 2010-02-09 Applied Materials, Inc. Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
US7279003B2 (en) * 2003-04-24 2007-10-09 Medtronic Vascular, Inc. Stent graft tapered spring
US7033961B1 (en) * 2003-07-15 2006-04-25 Rf Micro Devices, Inc. Epitaxy/substrate release layer
KR20060061343A (en) * 2003-07-31 2006-06-07 에프 에스 아이 인터내셔날,인코포레이티드 Controlled growth of highly uniform, oxide layers, especially ultrathin layers
US20050048742A1 (en) * 2003-08-26 2005-03-03 Tokyo Electron Limited Multiple grow-etch cyclic surface treatment for substrate preparation
DE102004015307A1 (en) * 2004-03-29 2005-10-20 Infineon Technologies Ag Preparing the surface of a semiconductor body for subsequent treatment comprises carrying out a temperature jump before treating in a specific atmosphere so that carbon can be burned away from the surface
US20070090493A1 (en) * 2005-10-11 2007-04-26 Promos Technologies Inc. Fabrication of nitrogen containing regions on silicon containing regions in integrated circuits, and integrated circuits obtained thereby
JP5091452B2 (en) * 2006-10-06 2012-12-05 株式会社東芝 Manufacturing method of semiconductor device
KR100757327B1 (en) * 2006-10-16 2007-09-11 삼성전자주식회사 Method of forming a non-volatile memory device
US8614124B2 (en) * 2007-05-25 2013-12-24 Cypress Semiconductor Corporation SONOS ONO stack scaling
WO2010064549A1 (en) * 2008-12-04 2010-06-10 三菱電機株式会社 Method for manufacturing thin-film photoelectric conversion device
US7910467B2 (en) * 2009-01-16 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for treating layers of a gate stack
JP2013541178A (en) * 2010-08-04 2013-11-07 アプライド マテリアルズ インコーポレイテッド Method of removing contaminants and native oxides from the surface of a substrate
US9093420B2 (en) 2012-04-18 2015-07-28 Rf Micro Devices, Inc. Methods for fabricating high voltage field effect transistor finger terminations
US9124221B2 (en) 2012-07-16 2015-09-01 Rf Micro Devices, Inc. Wide bandwidth radio frequency amplier having dual gate transistors
US8988097B2 (en) 2012-08-24 2015-03-24 Rf Micro Devices, Inc. Method for on-wafer high voltage testing of semiconductor devices
US9142620B2 (en) 2012-08-24 2015-09-22 Rf Micro Devices, Inc. Power device packaging having backmetals couple the plurality of bond pads to the die backside
US9147632B2 (en) 2012-08-24 2015-09-29 Rf Micro Devices, Inc. Semiconductor device having improved heat dissipation
US9917080B2 (en) 2012-08-24 2018-03-13 Qorvo US. Inc. Semiconductor device with electrical overstress (EOS) protection
US9202874B2 (en) 2012-08-24 2015-12-01 Rf Micro Devices, Inc. Gallium nitride (GaN) device with leakage current-based over-voltage protection
WO2014035794A1 (en) 2012-08-27 2014-03-06 Rf Micro Devices, Inc Lateral semiconductor device with vertical breakdown region
US9070761B2 (en) 2012-08-27 2015-06-30 Rf Micro Devices, Inc. Field effect transistor (FET) having fingers with rippled edges
US9325281B2 (en) 2012-10-30 2016-04-26 Rf Micro Devices, Inc. Power amplifier controller
US9455327B2 (en) 2014-06-06 2016-09-27 Qorvo Us, Inc. Schottky gated transistor with interfacial layer
CN105336595A (en) * 2014-08-08 2016-02-17 上海格易电子有限公司 Manufacturing method of tunneling oxide layer and flash memory with tunneling oxide layer
US9536803B2 (en) 2014-09-05 2017-01-03 Qorvo Us, Inc. Integrated power module with improved isolation and thermal conductivity
US10062684B2 (en) 2015-02-04 2018-08-28 Qorvo Us, Inc. Transition frequency multiplier semiconductor device
US10615158B2 (en) 2015-02-04 2020-04-07 Qorvo Us, Inc. Transition frequency multiplier semiconductor device

Citations (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4271421A (en) * 1977-01-26 1981-06-02 Texas Instruments Incorporated High density N-channel silicon gate read only memory
US4567645A (en) * 1983-09-16 1986-02-04 International Business Machines Corporation Method for forming a buried subcollector in a semiconductor substrate by ion implantation
US5022961A (en) * 1989-07-26 1991-06-11 Dainippon Screen Mfg. Co., Ltd. Method for removing a film on a silicon layer surface
US5078832A (en) * 1989-05-06 1992-01-07 Dainippon Screen Mfg. Co., Ltd. Method of treating wafer surface
US5178682A (en) * 1988-06-21 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate and apparatus therefor
US5188979A (en) * 1991-08-26 1993-02-23 Motorola Inc. Method for forming a nitride layer using preheated ammonia
US5268314A (en) * 1990-01-16 1993-12-07 Philips Electronics North America Corp. Method of forming a self-aligned bipolar transistor
US5306672A (en) * 1991-10-17 1994-04-26 Nec Corporation Method of manufacturing a semiconductor device wherein natural oxide film is removed from the surface of silicon substrate with HF gas
US5326406A (en) * 1991-07-31 1994-07-05 Kawasaki Steel Corporation Method of cleaning semiconductor substrate and apparatus for carrying out the same
US5344365A (en) * 1993-09-14 1994-09-06 Sematech, Inc. Integrated building and conveying structure for manufacturing under ultraclean conditions
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5538923A (en) * 1992-10-09 1996-07-23 Advanced Micro Devices, Inc. Method for achieving a high quality thin oxide using a sacrificial oxide anneal
US5589422A (en) * 1993-01-15 1996-12-31 Intel Corporation Controlled, gas phase process for removal of trace metal contamination and for removal of a semiconductor layer
US5610854A (en) * 1994-03-01 1997-03-11 Fujitsu Limited Semiconductor memory device and fabrication process thereof
US5613821A (en) * 1995-07-06 1997-03-25 Brooks Automation, Inc. Cluster tool batchloader of substrate carrier
US5656516A (en) * 1994-06-03 1997-08-12 Sony Corporation Method for forming silicon oxide layer
US5693578A (en) * 1993-09-17 1997-12-02 Fujitsu, Ltd. Method of forming thin silicon oxide film with high dielectric breakdown and hot carrier resistance
US5783495A (en) * 1995-11-13 1998-07-21 Micron Technology, Inc. Method of wafer cleaning, and system and cleaning solution regarding same
US5786788A (en) * 1996-10-08 1998-07-28 Raytheon Company Radar system and method for reducing range sidelobes
US5851888A (en) * 1997-01-15 1998-12-22 Advanced Micro Devices, Inc. Controlled oxide growth and highly selective etchback technique for forming ultra-thin oxide
US5876788A (en) * 1997-01-16 1999-03-02 International Business Machines Corporation High dielectric TiO2 -SiN composite films for memory applications
US6145148A (en) * 1995-10-13 2000-11-14 Lam Research Corporation Method and apparatus for cleaning of semiconductor substrates using hydrofluoric acid (HF)
US6156121A (en) * 1996-12-19 2000-12-05 Tokyo Electron Limited Wafer boat and film formation method
US6240933B1 (en) * 1997-05-09 2001-06-05 Semitool, Inc. Methods for cleaning semiconductor surfaces
US6265286B1 (en) * 1997-11-17 2001-07-24 Texas Instruments Incorporated Planarization of LOCOS through recessed reoxidation techniques

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5928369A (en) 1982-08-10 1984-02-15 Nec Corp Manufacture of capacitor for semiconductor device
US5229334A (en) * 1990-08-24 1993-07-20 Seiko Epson Corporation Method of forming a gate insulating film involving a step of cleaning using an ammonia-peroxide solution
JPH05267684A (en) 1992-03-18 1993-10-15 Rohm Co Ltd Nonvolatile storage element
US5811334A (en) * 1995-12-29 1998-09-22 Advanced Micro Devices, Inc. Wafer cleaning procedure useful in the manufacture of a non-volatile memory device
JP2001319944A (en) 2000-05-01 2001-11-16 Sony Corp Wire bonding apparatus control method
JP2005267684A (en) 2004-03-16 2005-09-29 Sony Corp Magnetic head device

Patent Citations (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4271421A (en) * 1977-01-26 1981-06-02 Texas Instruments Incorporated High density N-channel silicon gate read only memory
US4567645A (en) * 1983-09-16 1986-02-04 International Business Machines Corporation Method for forming a buried subcollector in a semiconductor substrate by ion implantation
US5178682A (en) * 1988-06-21 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate and apparatus therefor
US5078832A (en) * 1989-05-06 1992-01-07 Dainippon Screen Mfg. Co., Ltd. Method of treating wafer surface
US5022961A (en) * 1989-07-26 1991-06-11 Dainippon Screen Mfg. Co., Ltd. Method for removing a film on a silicon layer surface
US5022961B1 (en) * 1989-07-26 1997-05-27 Dainippon Screen Mfg Method for removing a film on a silicon layer surface
US5268314A (en) * 1990-01-16 1993-12-07 Philips Electronics North America Corp. Method of forming a self-aligned bipolar transistor
US5326406A (en) * 1991-07-31 1994-07-05 Kawasaki Steel Corporation Method of cleaning semiconductor substrate and apparatus for carrying out the same
US5188979A (en) * 1991-08-26 1993-02-23 Motorola Inc. Method for forming a nitride layer using preheated ammonia
US5306672A (en) * 1991-10-17 1994-04-26 Nec Corporation Method of manufacturing a semiconductor device wherein natural oxide film is removed from the surface of silicon substrate with HF gas
US5538923A (en) * 1992-10-09 1996-07-23 Advanced Micro Devices, Inc. Method for achieving a high quality thin oxide using a sacrificial oxide anneal
US5589422A (en) * 1993-01-15 1996-12-31 Intel Corporation Controlled, gas phase process for removal of trace metal contamination and for removal of a semiconductor layer
US5344365A (en) * 1993-09-14 1994-09-06 Sematech, Inc. Integrated building and conveying structure for manufacturing under ultraclean conditions
US5693578A (en) * 1993-09-17 1997-12-02 Fujitsu, Ltd. Method of forming thin silicon oxide film with high dielectric breakdown and hot carrier resistance
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5610854A (en) * 1994-03-01 1997-03-11 Fujitsu Limited Semiconductor memory device and fabrication process thereof
US5656516A (en) * 1994-06-03 1997-08-12 Sony Corporation Method for forming silicon oxide layer
US5613821A (en) * 1995-07-06 1997-03-25 Brooks Automation, Inc. Cluster tool batchloader of substrate carrier
US6145148A (en) * 1995-10-13 2000-11-14 Lam Research Corporation Method and apparatus for cleaning of semiconductor substrates using hydrofluoric acid (HF)
US5783495A (en) * 1995-11-13 1998-07-21 Micron Technology, Inc. Method of wafer cleaning, and system and cleaning solution regarding same
US5786788A (en) * 1996-10-08 1998-07-28 Raytheon Company Radar system and method for reducing range sidelobes
US6156121A (en) * 1996-12-19 2000-12-05 Tokyo Electron Limited Wafer boat and film formation method
US5851888A (en) * 1997-01-15 1998-12-22 Advanced Micro Devices, Inc. Controlled oxide growth and highly selective etchback technique for forming ultra-thin oxide
US5876788A (en) * 1997-01-16 1999-03-02 International Business Machines Corporation High dielectric TiO2 -SiN composite films for memory applications
US6240933B1 (en) * 1997-05-09 2001-06-05 Semitool, Inc. Methods for cleaning semiconductor surfaces
US6265286B1 (en) * 1997-11-17 2001-07-24 Texas Instruments Incorporated Planarization of LOCOS through recessed reoxidation techniques

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090142903A1 (en) * 2007-11-30 2009-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Chip on wafer bonder
US8387674B2 (en) * 2007-11-30 2013-03-05 Taiwan Semiconductor Manufacturing Comany, Ltd. Chip on wafer bonder
US9093447B2 (en) 2007-11-30 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Chip on wafer bonder
US20100009528A1 (en) * 2008-07-08 2010-01-14 Semiconductor Manufacturing International (Shanghai) Corporation Method for Rapid Thermal Treatment Using High Energy Electromagnetic Radiation of a Semiconductor Substrate for Formation of Dielectric Films
US7989363B2 (en) * 2008-07-08 2011-08-02 Semiconductor Manufacturing International (Shanghai) Corporation Method for rapid thermal treatment using high energy electromagnetic radiation of a semiconductor substrate for formation of dielectric films
US10453745B2 (en) 2015-07-28 2019-10-22 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same

Also Published As

Publication number Publication date
US20070087506A1 (en) 2007-04-19
WO1999039384A1 (en) 1999-08-05
DE69939620D1 (en) 2008-11-06
KR20010040515A (en) 2001-05-15
US7135417B2 (en) 2006-11-14
KR100415523B1 (en) 2004-01-16
US20020119674A1 (en) 2002-08-29
US6475927B1 (en) 2002-11-05
ATE409356T1 (en) 2008-10-15
EP1051744A1 (en) 2000-11-15
US6589877B1 (en) 2003-07-08
EP1051744B1 (en) 2008-09-24
AU2462199A (en) 1999-08-16
JP3360300B2 (en) 2002-12-24
JP2002502126A (en) 2002-01-22

Similar Documents

Publication Publication Date Title
US7135417B2 (en) Method of forming a semiconductor device
US6080682A (en) Methodology for achieving dual gate oxide thicknesses
US5434109A (en) Oxidation of silicon nitride in semiconductor devices
USRE38674E1 (en) Process for forming a thin oxide layer
US6190973B1 (en) Method of fabricating a high quality thin oxide
US4764248A (en) Rapid thermal nitridized oxide locos process
US5821158A (en) Substrate surface treatment method capable of removing a spontaneous oxide film at a relatively low temperature
US6716685B2 (en) Methods for forming dual gate oxides
JP2008028403A (en) Method for forming first oxide layer, and second oxide layer
US5393686A (en) Method of forming gate oxide by TLC gettering clean
US5637528A (en) Semiconductor device manufacturing method including dry oxidation
KR100550196B1 (en) Method of improving gate activation by employing atomic oxygen oxidation
JPH06204496A (en) Method for growing high-quality oxide film
US6984562B2 (en) Method for forming dielectric layer between gates in flash memory device
US5849643A (en) Gate oxidation technique for deep sub quarter micron transistors
JP3246476B2 (en) Method of manufacturing capacitive element and capacitive element
US20060051921A1 (en) Methods of manufacturing semiconductor device gate structures by performing a surface treatment on a gate oxide layer
US6764967B2 (en) Method for forming low thermal budget sacrificial oxides
EP0878022B1 (en) Method for forming ultra-thin gate oxides
JP4007864B2 (en) Manufacturing method of semiconductor device
US6803277B1 (en) Method of forming gate electrode in flash memory device
US5877073A (en) Modified poly-buffered locos forming technology avoiding the positive charge trapping at the beak of field oxide
US6177312B1 (en) Method for removing contaminate nitrogen from the peripheral gate region of a non-volatile memory device during production of such device
JPH11297689A (en) Heat treatment of silicon insulating film and manufacture of semiconductor device
JP3484410B2 (en) Method for forming element isolation region in semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION