US4307179A - Planar metal interconnection system and process - Google Patents

Planar metal interconnection system and process Download PDF

Info

Publication number
US4307179A
US4307179A US06/165,537 US16553780A US4307179A US 4307179 A US4307179 A US 4307179A US 16553780 A US16553780 A US 16553780A US 4307179 A US4307179 A US 4307179A
Authority
US
United States
Prior art keywords
layer
photoresist
metal
reactive ion
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US06/165,537
Inventor
Kenneth Chang
George T. Chiu
Anthony Hoeg, Jr.
Linda H. Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US06/165,537 priority Critical patent/US4307179A/en
Priority to JP8123681A priority patent/JPS5730348A/en
Priority to DE8181104439T priority patent/DE3163966D1/en
Priority to EP81104439A priority patent/EP0043458B1/en
Application granted granted Critical
Publication of US4307179A publication Critical patent/US4307179A/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/0011Working of insulating substrates or insulating layers
    • H05K3/0017Etching of the substrate by chemical or physical means
    • H05K3/0041Etching of the substrate by chemical or physical means by plasma etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/02Apparatus or processes for manufacturing printed circuits in which the conductive material is applied to the surface of the insulating support and is thereafter removed from such areas of the surface which are not intended for current conducting or shielding
    • H05K3/06Apparatus or processes for manufacturing printed circuits in which the conductive material is applied to the surface of the insulating support and is thereafter removed from such areas of the surface which are not intended for current conducting or shielding the conductive material being removed chemically or electrolytically, e.g. by photo-etch process
    • H05K3/061Etching masks
    • H05K3/064Photoresists
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/46Manufacturing multilayer circuits
    • H05K3/4644Manufacturing multilayer circuits by building the multilayer layer by layer, i.e. build-up multilayer circuits
    • H05K3/465Manufacturing multilayer circuits by building the multilayer layer by layer, i.e. build-up multilayer circuits by applying an insulating layer having channels for the next circuit layer
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/46Manufacturing multilayer circuits
    • H05K3/4644Manufacturing multilayer circuits by building the multilayer layer by layer, i.e. build-up multilayer circuits
    • H05K3/467Adding a circuit layer by thin film methods
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/01Dielectrics
    • H05K2201/0137Materials
    • H05K2201/0166Polymeric layer used for special processing, e.g. resist for etching insulating material or photoresist used as a mask during plasma etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/03Conductive materials
    • H05K2201/0332Structure of the conductor
    • H05K2201/0364Conductor shape
    • H05K2201/0376Flush conductors, i.e. flush with the surface of the printed circuit
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/09Shape and layout
    • H05K2201/09209Shape and layout details of conductors
    • H05K2201/095Conductive through-holes or vias
    • H05K2201/09563Metal filled via
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/05Patterning and lithography; Masks; Details of resist
    • H05K2203/0562Details of resist
    • H05K2203/0588Second resist used as pattern over first resist
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/07Treatments involving liquids, e.g. plating, rinsing
    • H05K2203/0779Treatments involving liquids, e.g. plating, rinsing characterised by the specific liquids involved
    • H05K2203/0786Using an aqueous solution, e.g. for cleaning or during drilling of holes
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/09Treatments involving charged particles
    • H05K2203/095Plasma, e.g. for treating a substrate to improve adhesion with a conductor or for cleaning holes
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/10Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern
    • H05K3/107Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern by filling grooves in the support with conductive material
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/10Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern
    • H05K3/14Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern using spraying techniques to apply the conductive material, e.g. vapour evaporation
    • H05K3/146By vapour deposition
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/10Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern
    • H05K3/14Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern using spraying techniques to apply the conductive material, e.g. vapour evaporation
    • H05K3/16Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern using spraying techniques to apply the conductive material, e.g. vapour evaporation by cathodic sputtering

Definitions

  • the present invention relates generally to a process for forming metallurgy patterns on a substrate. More particularly, the present invention relates to a process for forming a metallurgy interconnection system with a planar top surface.
  • Multilevel metallurgy interconnection systems for integrated circuit devices have conventionally been formed by blanket depositing a metal layer, forming a photoresist layer on the metal layer, exposing the photoresist to the desired metallurgy pattern, developing the photoresist and subsequently etching the exposed portions of the metal layer to form the first level of a desired metallurgy interconnection system.
  • the metallurgy pattern was then covered by an insulating layer and another metallurgy pattern was formed on the surface of the insulating layer making contact to the underlying metallurgy pattern through via holes.
  • Each time a metallurgy pattern is deposited on a surface the more irregular or non-planar the surface of the overlying insulating layer becomes.
  • three levels of metallurgy are the maximum that can be deposited using the above described method.
  • lift-off method An alternative method for forming metallurgy patterns is commonly denoted by the term "lift-off method".
  • the lift-off method was initially described in U.S. Pat. No. 2,559,389. Improvements to the basic lift-off method have been made, as described in U.S. Pat. Nos. 3,849,136 and 3,873,361, all of which patents are assigned to the present assignee.
  • the basic lift-off method for forming a metallurgy pattern does not overcome the non-planarity problem discussed heretofore.
  • a method for forming a planar metallurgy pattern utilizing the lift-off method is described in U.S. Pat. No. 3,985,597, assigned to the present assignee.
  • the method of this patent involves depositing a conductive metal layer in the bottom of a trench formed in a first layer of an organic thermal setting polymerized resin material, a second overlying layer of a material that is soluble in a solvent that does not appreciably affect the material of the first layer and a third layer resistant to reactive ion etching in O 2 .
  • the substrate is exposed to a solvent that is selective to the material of the second layer so as to lift-off the structure above the deposited metal and the first layer.
  • over etching of the masking layer produces an overhang of the openings in the overlying layers.
  • the over etching is used to facilitate easy lift-off of the unwanted portions of the finally deposited layers.
  • the use of an overhang and vertical metal deposition results in small spaces existing between the metal pattern and the surrounding organic resin material of the first layer.
  • the use of an over hang in effect restricts the size of the metal pattern that can be deposited since the spaces formed between the metal pattern and the surrounding organic resin cannot be utilized for metal.
  • the process for forming a layer of a metallurgy interconnection system on a substrate involves forming a first electrically insulative layer of an organic polymerized resin material on the substrate, forming a second thin layer of the first layer which is resistant to dry etching conditions which are effective to etch the first layer, depositing a photoresist layer on the second layer, exposing the photoresist to form an inverse pattern of a desired metallurgy pattern and developing the photoresist, reactive ion etching the resultant exposed areas of the first and second layers, depositing a blanket continuous conductive metal layer over the hills and valleys of the pattern resulting from reactive ion etching, applying a planarizing photoresist layer, etching the photoresist to expose high spots of the metal layer, and etching the metal high spots to a depth sufficient to expose the surface of the second layer.
  • FIGS. 1-8 illustrate a sequence of elevational views in broken section illustrating a substrate at various stages during the practice of the method of the invention.
  • FIG. 9 is an elevational view in broken section illustrating the structure formed in FIGS. 1-8 with additional metallurgy layers formed in accordance with the method of the invention by repeating the steps illustrated in FIGS. 1-8.
  • FIG. 10 is an enlarged elevational view in broken section of one contact opening shown in FIG. 2 illustrating various features of the reactive ion etching step.
  • FIG. 11 is similar to FIG. 10 illustrating further features of the reactive ion etching step.
  • FIG. 12 is similar to FIG. 11 illustrating further features of the reactive ion etching step.
  • FIG. 13 is an enlarged elevational view in broken section of one contact opening of FIG. 6 after application of a metal layer and a photoresist layer.
  • FIG. 14 is similar to FIG. 13 and illustrates various features of the metal etching step
  • FIG. 15 is an enlarged elevational view in broken section similar to FIG. 14 illustrating the relationship of the components in a finished metallurgy pattern.
  • a first electrically insulative layer 12 of an organic polymerized resin material is formed on a substrate 10.
  • the resin material of layer 12 can be any suitable resin material that will adhere to layer 10 and which can be reactive ion etched with a suitable reactive ion, such as O 2 . If necessary or desirable, the surface of layer 10 can be treated to insure adhesion of layer 12.
  • a preferred resin material for use as layer 12 is a polyimide plastic material.
  • An example of such a resin material is commercially available under the tradename of RC5878 by E. I. duPont de Nemours and Co.
  • the polyimide is formed by reacting pyrometallic di-anhydride with an aromatic diamine which yields a polyamic acid. The polyamic acid is thermally crosslinked in situ.
  • a preferred technique for forming layer 12 is to deposit a resin material in liquid form on substrate 10 and then spinning substrate 10. The spinning action flows the material over the surface of the wafer to a relatively uniform thickness. The resin material is subsequently heated to dehydrate and cure the resin material. Suitable curing of polyimide is accomplished by heating the polyimide for 20 minutes at 80° C. which removes the solvent from the material thereafter heating for 10 minutes at 200° C. causes imidization. Additional heating for 20 minutes at 310° C. causes the polyimide to crosslink.
  • any organic polymeric material can be used for layer 12 which is susceptible to reactive ion etching by an ambient ion which does not etch substrate 10 and which has suitable dielectric properties.
  • suitable materials for use as layer 12 include those which are stable at temperatures up to about 400° C., such as halogenated, nitrogenated and oxygenated polymers, polyaliphatic and polyaromatic polymers formed by conventional or plasma polymerization.
  • the material to be used should have a high temperature stability of over 400° C. and have a suitable viscosity such that it will flow during the deposition and to a small extent during the curing cycle.
  • the thickness of layer 12 is controlled by the viscosity of the material deposited on the wafer and the rate at which it is spun during the deposition. Typically a desirable thickness is in the range of from about 1 to about 5 microns, preferably from about 1 to 2 microns when used in integrated circuit interconnection metallurgy application.
  • the substrate 10 is typically monocrystalline silicon or other semiconductor material having active and passive devices fabricated therein (not shown) and means for electrically isolating the devices from each other.
  • the surface of substrate 10 in contact with the first layer 12 is either silicon or other semiconductor material, silicon compounds, metal or an inorganic insulating material, such as aluminum oxide.
  • Each of these components of substrate 10 is impervious to attack by reactive ion etching with O 2 and in a subsequently described step acts as an etch stop during reactive ion etching of layer 12 with O 2 or other suitable ambient.
  • glass film 14 acts as a mask for the subsequent etching of layer 12.
  • glass film 14 may be made very thin, however, the thickness of glass film 14 is not critical.
  • glass film 14 is from about 0.3 to about 0.7 microns.
  • Suitable methods for depositing glass film 14 include low temperature chemical vapor deposition, plasma enhanced chemical vapor deposition and plasma enhanced polymerization.
  • the term "glass” includes silicon dioxide, silicon oxide, silicon nitride and other compounds commonly used as insulators or passivators. It should be understood that any glass material can be used which is resistant to being etched in the ambient used for the final reactive ion etching of layer 12.
  • a layer of photoresist 16 is then applied over the glass layer 14. As shown in FIG. 1, photoresist layer 16 has been exposed and developed to provide windows 18, 20 and 22 through which the surface of glass layer 14 is exposed.
  • Photoresist layer 16 may be any conventional optical or electron resist but is preferably a resist which may be exposed by an electron beam.
  • PMMA and its copolymers which are a positive electron resist material any number of sensitive electron or optical resists may be used.
  • the positive resists marketed by the Shipley Company under the tradename of AZ-1350H, AZ-1350J and AZ-111 and the negative resist marketed by the Kent Hunt Chemical Company identified by the tradename Waycoat IC and by the Eastman Kodak Company under the tradenames of KTFR, KMER, KPR-2 and KPR-3 may be used.
  • the techniques for applying, exposing and developing these resists either by electron beam or ultra-violet light are well known to those skilled in the art.
  • Glass layer 14 and polymerized resin layer 12 are then etched as shown in FIG. 2.
  • a preferred technique for removing glass layer 14 and resin layer 12 to form vertical trenches is by reactive ion etching.
  • reactive ion etching the substrate is exposed to a reactive ion plasma generated in an appropriate ambient by an RF or DC power source.
  • Suitable apparatus for performing reactive ion etching is illustrated in U.S. Pat. No. 3,598,710.
  • the reactive ion etching step is initiated in an ambient of 0 2 and/or CF 4 . As best seen in the enlarged view of FIG.
  • a residue of photoresist sometimes may remain over the surface of glass layer 14 after removal of the illustrated cross hatched portion of photoresist by conventional exposure and development.
  • a short treatment in an ambient of O 2 for about 60 seconds is sufficient to remove any remaining photoresist over glass layer 14.
  • Removal of the exposed portions of glass layer 14 is preferably achieved by an ambient consisting of CF 4 .
  • a pressure of 5 millitorr and a power density of 0.3 watts/cm 2 is suitable.
  • Glass layer 14 is etched away in a few minutes but the CF 4 ambient is retained until approximately half of the resin layer 12 is removed.
  • the material removed by reactive ion etching with a CF 4 ambient is cross hatched.
  • some of the polymerized resin layer 12 and the glass layer 14 are removed as well as most of the photoresist layer 16 during reactive ion etching with CF 4 ambient.
  • the ambient in the etching chamber is changed to an ambient which will not etch either glass layer 14 or substrate 10 and the illustrated cross hatched portion of layer 12 is removed as shown in FIG. 12.
  • the preferred ambient when glass layer 14 is silicon dioxide is oxygen.
  • Other suitable ambients include a mixture of oxygen, argon, nitrogen and halogenated compounds.
  • the remainder of the photoresist layer 16 is also removed as shown in FIG. 12.
  • final reactive ion etching proceeds vertically from the end of glass layer 14 remaining after a contact opening in glass layer 14 is formed by reactive ion etching with CF 4 .
  • the surface of the substrate 10 acts as an etch stop for reactive ion etching with O 2 . Consequently, it is preferred that etching in an O 2 ambient be continued about 30 per cent longer than a perceived end point. This assures that all of the polymerized resin layer 12 has been removed.
  • reactive ion etching in an O 2 ambient is accomplished at a pressure of 4 millitorr of O 2 at a power density of 0.1 watts/cm 2 .
  • the sidewalls of the openings 18, 20 and 22 are vertical.
  • a metallic layer 24 is then deposited on the resultant surface of the substrate 10.
  • Metallic layer 24 is deposited at sufficient thickness so that a blanket continuous layer of metal is formed across the surface of substrate 10. Due to the uneven topography of the surface of substrate 10 resulting from reactive ion etching of resin layer 12 and glass layer 14, the blanket continuous metallic layer 24 is deposited as a series of hills and valleys as shown in FIG. 3.
  • the thickness of metallic layer 24 should be at least equivalent to the thickness of resin layer 12 plus the thickness of glass layer 14.
  • the metallic layer 24 is deposited at a thickness equivalent to layer 12 and glass layer 14 plus a slight increment of from about 5 to about 25 percent of the combined thickness of resin layer 12 and glass layer 14. This assures a continuous band of metal at the top edges of the trench formed by reactive ion etching.
  • Metallic layer 24 of conductive material can be any suitable type of material such as aluminum, aluminum copper alloy, molybdenum, tantalum, or laminated combinations such as chromium-silver-chromium, molybdenum-gold-molybdenum, chromium-copper-chromium, and the like.
  • a planar layer of photoresist is then applied.
  • the valleys in the metallic layer 24 are first filled with photoresist 26. Filling of the valleys of metallic layer 24 is essential only when the device structure has large etched areas. The reason for this is that large etched areas containing metal would contain a height of a blanket layer of photoresist insufficient to protect the desired portions of the metal during a subsequent etch step to expose the metal high spots as described hereinafter.
  • Application of photoresist to the valleys of the metal does not require critical masking and as shown in FIG. 4 some misalignment is allowed. The misalignment can be quite large and bridging is also acceptable for this step.
  • a negative photoresist If the same mask used to expose the photoresist layer 16 is used for the step illustrated in FIG. 4 of applying photoresist in the metallic layer valleys, it is necessary to use a negative photoresist.
  • a negative of the mask used to expose photoresist layer 16 can be prepared and a positive photoresist can be used to fill the valleys of metallic layer 24.
  • a blanket photoresist layer 28 is applied to the substrate 10 to form a planar photoresist layer.
  • the photoresist layer 28 can be applied directly to the substrate without applying layer 26 to fill the valleys of the metallic layer 24 if the device consists solely of small metal lines.
  • Planar photoresist layer 28 can be any suitable type of photoresist.
  • One contact opening showing the relationship of the layers is shown in FIG. 13.
  • Photoresist layer 28 and photoresist layer 26 (if used) is then subjected to a blanket etch to expose the hills of metallic layer 24. As shown in FIG. 14, the exposed metal high spots have residues of photoresist layer 28 and photoresist layer 26 residing in the valleys between the hills.
  • the photoresist layer 28 and 26 is etched with a reactive ion etching ambient consisting of 92 percent CF 4 and 8 percent O 2 . The etching is preferably continued until the photoresist has been removed to a level of from about 0.1 to about 0.4 microns beneath the surface of the metal high spots.
  • the photoresist removed is illustrated as cross hatched in FIG. 14.
  • the exposed metal high spots are then wet etched to remove the metal which extends above glass layer 14.
  • Wet etching is effected with suitable reagents in accordance with conventional methods.
  • an aluminum-copper alloy can be etched with a mixture of phosphoric and nitric acids at 35° ⁇ 5° C.
  • the wet etch step is continued until all of the metal above glass layer 14 has been removed and is continued for an additional time to remove a portion of the metal neck 32 which extends between the inclined leading edge 34 of glass layer 14 and remaining photoresist layers 28 and 26.
  • the material removed during the wet etch step is illustrated as cross hatched in FIG. 15.
  • the neck 32 permits the wet etch step to proceed in a noncritical manner as the wet etch step is stopped while the metal still contacts the leading edge 34 of glass layer 14. Stopping the wet etch step while metal still contacts leading edge 34 permits the organic polymeric resin layer 12 to be fully enclosed by a combination of glass layer 14, the metal remaining after the wet etch step and substrate 10. This is of great significance since it isolates the organic resin material which is susceptible to moisture pickup.
  • a further advantage is that the encapsulated resin material will not be susceptible to etching during reactive ion etching when subsequent layers of metallization are applied.
  • FIG. 7 After the wet etch step is concluded the structure shown in FIG. 7 is attained. The remaining photoresist is then removed to provide the structure of FIG. 8 having a first level of planar metal.
  • the structure shown in FIG. 8 has metal segments 36, 38 and 42 separated by passivation sections 44, 46 and 48.
  • FIG. 9 illustrates a finished structure after two further sequences of steps as described above to provide two additional layers of metallurgy of an interconnection pattern.
  • a via stud 49 connects metal portion 36 with metal portion 50.
  • Additional layers of the same material are marked with the reference numbers previously described using a prime or double prime notation.
  • the layers are built up the surface of the interconnection pattern and the insulating material surrounding the metallurgy interconnection pattern remains substantially planar. This permits the number of layers to be increased without regard to the problems which occur when the surfaces are not planar.

Abstract

A process for forming a layer of a metallurgy interconnection system on a substrate. The process involves forming a first electrically insulative layer of an organic polymerized resin material on the substrate, forming a second thin layer on the first layer which is resistant to dry etching conditions which are effective to etch the first layer, depositing a photoresist layer on the second layer, exposing the photoresist to form an inverse pattern of a desired metallurgy pattern and developing the photoresist, reactive ion etching the resultant exposed areas of the first and second layers, depositing a blanket continuous conductive metal layer over the hills and valleys of the pattern resulting from reactive ion etching, applying a planarizing photoresist layer, etching the photoresist to expose high spots of the metal layer, and etching the metal high spots to a depth sufficient to expose the surface of the second layer.

Description

BACKGROUND OF THE INVENTION
1. Field of the Invention
The present invention relates generally to a process for forming metallurgy patterns on a substrate. More particularly, the present invention relates to a process for forming a metallurgy interconnection system with a planar top surface.
2. Description of the Prior Art
Multilevel metallurgy interconnection systems for integrated circuit devices have conventionally been formed by blanket depositing a metal layer, forming a photoresist layer on the metal layer, exposing the photoresist to the desired metallurgy pattern, developing the photoresist and subsequently etching the exposed portions of the metal layer to form the first level of a desired metallurgy interconnection system. The metallurgy pattern was then covered by an insulating layer and another metallurgy pattern was formed on the surface of the insulating layer making contact to the underlying metallurgy pattern through via holes. Each time a metallurgy pattern is deposited on a surface, the more irregular or non-planar the surface of the overlying insulating layer becomes. In general, three levels of metallurgy are the maximum that can be deposited using the above described method.
An alternative method for forming metallurgy patterns is commonly denoted by the term "lift-off method". The lift-off method was initially described in U.S. Pat. No. 2,559,389. Improvements to the basic lift-off method have been made, as described in U.S. Pat. Nos. 3,849,136 and 3,873,361, all of which patents are assigned to the present assignee. The basic lift-off method for forming a metallurgy pattern does not overcome the non-planarity problem discussed heretofore.
A method for forming a planar metallurgy pattern utilizing the lift-off method is described in U.S. Pat. No. 3,985,597, assigned to the present assignee. The method of this patent involves depositing a conductive metal layer in the bottom of a trench formed in a first layer of an organic thermal setting polymerized resin material, a second overlying layer of a material that is soluble in a solvent that does not appreciably affect the material of the first layer and a third layer resistant to reactive ion etching in O2. As usual with lift-off methods, the substrate is exposed to a solvent that is selective to the material of the second layer so as to lift-off the structure above the deposited metal and the first layer. Also, as common to the lift-off method, over etching of the masking layer produces an overhang of the openings in the overlying layers. The over etching is used to facilitate easy lift-off of the unwanted portions of the finally deposited layers. The use of an overhang and vertical metal deposition results in small spaces existing between the metal pattern and the surrounding organic resin material of the first layer. The use of an over hang in effect restricts the size of the metal pattern that can be deposited since the spaces formed between the metal pattern and the surrounding organic resin cannot be utilized for metal.
SUMMARY OF THE INVENTION
It is a primary object of the present invention to provide an improved method for forming metal interconnection systems having surface planarity.
It is another object of the present invention to provide an improved method for forming metal interconnection systems for integrated circuit devices which are adapted to greater component density.
It is a further object of the invention to provide an improved method for forming multi-level metal interconnection systems for integrated circuit devices which is simplified and has few critical process operations.
In accordance with the objects of this invention, the process for forming a layer of a metallurgy interconnection system on a substrate involves forming a first electrically insulative layer of an organic polymerized resin material on the substrate, forming a second thin layer of the first layer which is resistant to dry etching conditions which are effective to etch the first layer, depositing a photoresist layer on the second layer, exposing the photoresist to form an inverse pattern of a desired metallurgy pattern and developing the photoresist, reactive ion etching the resultant exposed areas of the first and second layers, depositing a blanket continuous conductive metal layer over the hills and valleys of the pattern resulting from reactive ion etching, applying a planarizing photoresist layer, etching the photoresist to expose high spots of the metal layer, and etching the metal high spots to a depth sufficient to expose the surface of the second layer.
BRIEF DESCRIPTION OF THE DRAWINGS
The foregoing and other objects, features and advantages of the invention will become apparent from the following more particular description of preferred embodiments of the invention as illustrated in the accompanying drawings, wherein:
FIGS. 1-8 illustrate a sequence of elevational views in broken section illustrating a substrate at various stages during the practice of the method of the invention.
FIG. 9 is an elevational view in broken section illustrating the structure formed in FIGS. 1-8 with additional metallurgy layers formed in accordance with the method of the invention by repeating the steps illustrated in FIGS. 1-8.
FIG. 10 is an enlarged elevational view in broken section of one contact opening shown in FIG. 2 illustrating various features of the reactive ion etching step.
FIG. 11 is similar to FIG. 10 illustrating further features of the reactive ion etching step.
FIG. 12 is similar to FIG. 11 illustrating further features of the reactive ion etching step.
FIG. 13 is an enlarged elevational view in broken section of one contact opening of FIG. 6 after application of a metal layer and a photoresist layer.
FIG. 14 is similar to FIG. 13 and illustrates various features of the metal etching step, and
FIG. 15 is an enlarged elevational view in broken section similar to FIG. 14 illustrating the relationship of the components in a finished metallurgy pattern.
DESCRIPTION OF THE PREFERRED EMBODIMENTS
Referring now to the drawings, as illustrated in FIG. 1, a first electrically insulative layer 12 of an organic polymerized resin material is formed on a substrate 10. The resin material of layer 12 can be any suitable resin material that will adhere to layer 10 and which can be reactive ion etched with a suitable reactive ion, such as O2. If necessary or desirable, the surface of layer 10 can be treated to insure adhesion of layer 12. A preferred resin material for use as layer 12 is a polyimide plastic material. An example of such a resin material is commercially available under the tradename of RC5878 by E. I. duPont de Nemours and Co. The polyimide is formed by reacting pyrometallic di-anhydride with an aromatic diamine which yields a polyamic acid. The polyamic acid is thermally crosslinked in situ.
A preferred technique for forming layer 12 is to deposit a resin material in liquid form on substrate 10 and then spinning substrate 10. The spinning action flows the material over the surface of the wafer to a relatively uniform thickness. The resin material is subsequently heated to dehydrate and cure the resin material. Suitable curing of polyimide is accomplished by heating the polyimide for 20 minutes at 80° C. which removes the solvent from the material thereafter heating for 10 minutes at 200° C. causes imidization. Additional heating for 20 minutes at 310° C. causes the polyimide to crosslink. In general, any organic polymeric material can be used for layer 12 which is susceptible to reactive ion etching by an ambient ion which does not etch substrate 10 and which has suitable dielectric properties. Other suitable materials for use as layer 12 include those which are stable at temperatures up to about 400° C., such as halogenated, nitrogenated and oxygenated polymers, polyaliphatic and polyaromatic polymers formed by conventional or plasma polymerization. In general, the material to be used should have a high temperature stability of over 400° C. and have a suitable viscosity such that it will flow during the deposition and to a small extent during the curing cycle. The thickness of layer 12 is controlled by the viscosity of the material deposited on the wafer and the rate at which it is spun during the deposition. Typically a desirable thickness is in the range of from about 1 to about 5 microns, preferably from about 1 to 2 microns when used in integrated circuit interconnection metallurgy application.
The substrate 10 is typically monocrystalline silicon or other semiconductor material having active and passive devices fabricated therein (not shown) and means for electrically isolating the devices from each other. The surface of substrate 10 in contact with the first layer 12 is either silicon or other semiconductor material, silicon compounds, metal or an inorganic insulating material, such as aluminum oxide. Each of these components of substrate 10 is impervious to attack by reactive ion etching with O2 and in a subsequently described step acts as an etch stop during reactive ion etching of layer 12 with O2 or other suitable ambient.
Next a thin film of glass 14 is deposited atop layer 12. Glass film 14 acts as a mask for the subsequent etching of layer 12. Thus, glass film 14 may be made very thin, however, the thickness of glass film 14 is not critical. Typically, glass film 14 is from about 0.3 to about 0.7 microns. Since layer 12 of an organic thermal setting polymerized resin material is damaged at temperatures above about 400° C., glass film 14 is deposited by methods wherein the temperature does not exceed about 400° C. Suitable methods for depositing glass film 14 include low temperature chemical vapor deposition, plasma enhanced chemical vapor deposition and plasma enhanced polymerization. As used herein, the term "glass" includes silicon dioxide, silicon oxide, silicon nitride and other compounds commonly used as insulators or passivators. It should be understood that any glass material can be used which is resistant to being etched in the ambient used for the final reactive ion etching of layer 12.
A layer of photoresist 16 is then applied over the glass layer 14. As shown in FIG. 1, photoresist layer 16 has been exposed and developed to provide windows 18, 20 and 22 through which the surface of glass layer 14 is exposed.
Photoresist layer 16 may be any conventional optical or electron resist but is preferably a resist which may be exposed by an electron beam. In addition to PMMA and its copolymers which are a positive electron resist material, any number of sensitive electron or optical resists may be used. For example, the positive resists marketed by the Shipley Company under the tradename of AZ-1350H, AZ-1350J and AZ-111 and the negative resist marketed by the Kent Hunt Chemical Company identified by the tradename Waycoat IC and by the Eastman Kodak Company under the tradenames of KTFR, KMER, KPR-2 and KPR-3 may be used. The techniques for applying, exposing and developing these resists either by electron beam or ultra-violet light are well known to those skilled in the art.
Glass layer 14 and polymerized resin layer 12 are then etched as shown in FIG. 2. A preferred technique for removing glass layer 14 and resin layer 12 to form vertical trenches is by reactive ion etching. In reactive ion etching, the substrate is exposed to a reactive ion plasma generated in an appropriate ambient by an RF or DC power source. Suitable apparatus for performing reactive ion etching is illustrated in U.S. Pat. No. 3,598,710. Preferably, the reactive ion etching step is initiated in an ambient of 02 and/or CF4. As best seen in the enlarged view of FIG. 10, a residue of photoresist sometimes may remain over the surface of glass layer 14 after removal of the illustrated cross hatched portion of photoresist by conventional exposure and development. A short treatment in an ambient of O2 for about 60 seconds is sufficient to remove any remaining photoresist over glass layer 14.
Removal of the exposed portions of glass layer 14 is preferably achieved by an ambient consisting of CF4. A pressure of 5 millitorr and a power density of 0.3 watts/cm2 is suitable. Glass layer 14 is etched away in a few minutes but the CF4 ambient is retained until approximately half of the resin layer 12 is removed. As shown in FIG. 11 the material removed by reactive ion etching with a CF4 ambient is cross hatched. As can be seen, some of the polymerized resin layer 12 and the glass layer 14 are removed as well as most of the photoresist layer 16 during reactive ion etching with CF4 ambient. At this point, the ambient in the etching chamber is changed to an ambient which will not etch either glass layer 14 or substrate 10 and the illustrated cross hatched portion of layer 12 is removed as shown in FIG. 12. The preferred ambient when glass layer 14 is silicon dioxide is oxygen. Other suitable ambients include a mixture of oxygen, argon, nitrogen and halogenated compounds. During the final reactive ion etching with an O2 or other suitable ambient the remainder of the photoresist layer 16 is also removed as shown in FIG. 12.
The requirement that glass layer 14 be resistant to the ambient used in the final reactive ion etching step is now apparent. As shown in FIG. 12, final reactive ion etching proceeds vertically from the end of glass layer 14 remaining after a contact opening in glass layer 14 is formed by reactive ion etching with CF4. The surface of the substrate 10 acts as an etch stop for reactive ion etching with O2. Consequently, it is preferred that etching in an O2 ambient be continued about 30 per cent longer than a perceived end point. This assures that all of the polymerized resin layer 12 has been removed. Preferably, reactive ion etching in an O2 ambient is accomplished at a pressure of 4 millitorr of O2 at a power density of 0.1 watts/cm2. As shown in FIG. 2 and FIG. 11, the sidewalls of the openings 18, 20 and 22 are vertical.
As shown in FIG. 3, a metallic layer 24 is then deposited on the resultant surface of the substrate 10. Metallic layer 24 is deposited at sufficient thickness so that a blanket continuous layer of metal is formed across the surface of substrate 10. Due to the uneven topography of the surface of substrate 10 resulting from reactive ion etching of resin layer 12 and glass layer 14, the blanket continuous metallic layer 24 is deposited as a series of hills and valleys as shown in FIG. 3. In general, the thickness of metallic layer 24 should be at least equivalent to the thickness of resin layer 12 plus the thickness of glass layer 14. Preferably, the metallic layer 24 is deposited at a thickness equivalent to layer 12 and glass layer 14 plus a slight increment of from about 5 to about 25 percent of the combined thickness of resin layer 12 and glass layer 14. This assures a continuous band of metal at the top edges of the trench formed by reactive ion etching.
Metallic layer 24 of conductive material can be any suitable type of material such as aluminum, aluminum copper alloy, molybdenum, tantalum, or laminated combinations such as chromium-silver-chromium, molybdenum-gold-molybdenum, chromium-copper-chromium, and the like.
A planar layer of photoresist is then applied. In a preferred embodiment of the invention, as shown in FIG. 4, the valleys in the metallic layer 24 are first filled with photoresist 26. Filling of the valleys of metallic layer 24 is essential only when the device structure has large etched areas. The reason for this is that large etched areas containing metal would contain a height of a blanket layer of photoresist insufficient to protect the desired portions of the metal during a subsequent etch step to expose the metal high spots as described hereinafter. Application of photoresist to the valleys of the metal does not require critical masking and as shown in FIG. 4 some misalignment is allowed. The misalignment can be quite large and bridging is also acceptable for this step. If the same mask used to expose the photoresist layer 16 is used for the step illustrated in FIG. 4 of applying photoresist in the metallic layer valleys, it is necessary to use a negative photoresist. Alternatively, a negative of the mask used to expose photoresist layer 16 can be prepared and a positive photoresist can be used to fill the valleys of metallic layer 24.
Next, as shown in FIG. 5 a blanket photoresist layer 28 is applied to the substrate 10 to form a planar photoresist layer. The photoresist layer 28 can be applied directly to the substrate without applying layer 26 to fill the valleys of the metallic layer 24 if the device consists solely of small metal lines. Planar photoresist layer 28 can be any suitable type of photoresist. One contact opening showing the relationship of the layers is shown in FIG. 13.
Photoresist layer 28 and photoresist layer 26 (if used) is then subjected to a blanket etch to expose the hills of metallic layer 24. As shown in FIG. 14, the exposed metal high spots have residues of photoresist layer 28 and photoresist layer 26 residing in the valleys between the hills. Preferably, the photoresist layer 28 and 26 is etched with a reactive ion etching ambient consisting of 92 percent CF4 and 8 percent O2. The etching is preferably continued until the photoresist has been removed to a level of from about 0.1 to about 0.4 microns beneath the surface of the metal high spots. The photoresist removed is illustrated as cross hatched in FIG. 14.
The exposed metal high spots are then wet etched to remove the metal which extends above glass layer 14. Wet etching is effected with suitable reagents in accordance with conventional methods. For example, an aluminum-copper alloy can be etched with a mixture of phosphoric and nitric acids at 35°±5° C.
The wet etch step is continued until all of the metal above glass layer 14 has been removed and is continued for an additional time to remove a portion of the metal neck 32 which extends between the inclined leading edge 34 of glass layer 14 and remaining photoresist layers 28 and 26. The material removed during the wet etch step is illustrated as cross hatched in FIG. 15. The neck 32 permits the wet etch step to proceed in a noncritical manner as the wet etch step is stopped while the metal still contacts the leading edge 34 of glass layer 14. Stopping the wet etch step while metal still contacts leading edge 34 permits the organic polymeric resin layer 12 to be fully enclosed by a combination of glass layer 14, the metal remaining after the wet etch step and substrate 10. This is of great significance since it isolates the organic resin material which is susceptible to moisture pickup. A further advantage is that the encapsulated resin material will not be susceptible to etching during reactive ion etching when subsequent layers of metallization are applied.
After the wet etch step is concluded the structure shown in FIG. 7 is attained. The remaining photoresist is then removed to provide the structure of FIG. 8 having a first level of planar metal. The structure shown in FIG. 8 has metal segments 36, 38 and 42 separated by passivation sections 44, 46 and 48.
As will be apparent to those skilled in the art any desired number of interconnection layers can be formed by repeating the method steps illustrated in FIGS. 1-8. FIG. 9 illustrates a finished structure after two further sequences of steps as described above to provide two additional layers of metallurgy of an interconnection pattern. As shown in FIG. 9 a via stud 49 connects metal portion 36 with metal portion 50. Additional layers of the same material are marked with the reference numbers previously described using a prime or double prime notation. As the layers are built up the surface of the interconnection pattern and the insulating material surrounding the metallurgy interconnection pattern remains substantially planar. This permits the number of layers to be increased without regard to the problems which occur when the surfaces are not planar.
While the invention has been particularly shown and described with reference to the preferred embodiments thereof, it will be understood by those skilled in the art that the foregoing and other changes in form and detail may be made without departing from the spirit and scope of the invention.

Claims (7)

What is claimed is:
1. A process for forming an interconnection metallurgy system on a substrate comprising:
forming a first layer of an organic polymerized resin material on the top surface of said substrate;
forming a second overlying glass layer on the surface of said resin layer,
depositing a resist layer over said second layer, exposing the resist to form the pattern of the desired metallurgy pattern and developing the resist;
removing the resultant exposed areas of said first and said second layer by reactive ion etching,
depositing over said substrate a blanket continuous conductive metal layer, said metal layer having a hill and valley configuration conforming to the topography on the surface of said substrate;
applying a blanket layer of photoresist;
etching said photoresist layer to expose high spots of said metal layer; and
etching said metal high spots to remove metal above said second layer.
2. A process in accordance with claim 1 wherein said conductive metal layer has a thickness at least equal to that of said first and said second layer.
3. A process in accordance with claim 1 wherein said metal layer has a thickness of at least from about 5 to about 25 percent in excess of the thickness of said first and said second layer.
4. A method in accordance with claim 1 or claim 2 wherein said photoresist layer which is applied to the surface of said metal layer is etched to a level of from about 0.1 to about 0.4 microns beneath the surface of said metal high spots.
5. A method in accordance with claim 1 wherein said etching of said metal high spots is stopped while metal remains in contact with the leading edge of said second layer.
6. A method in accordance with claim 1, 2, 4 or 5 wherein exposed areas of said first and said second layers are removed by a reactive ion etching step including a first reactive ion etching in an ambient comprising CF4 and a second reactive ion etching step in an ambient comprising O2 so as to provide a vertical trench pattern in said first layer.
7. A method in accordance with claim 1, 2, 4 or 5 wherein said blanket layer of photoresist is applied by a process wherein a first layer of photoresist is applied through a mask into the valleys of said metal layer and a second blanket layer of photoresist is applied in a planar configuration.
US06/165,537 1980-07-03 1980-07-03 Planar metal interconnection system and process Expired - Lifetime US4307179A (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US06/165,537 US4307179A (en) 1980-07-03 1980-07-03 Planar metal interconnection system and process
JP8123681A JPS5730348A (en) 1980-07-03 1981-05-29 Method of forming mutual connection wire
DE8181104439T DE3163966D1 (en) 1980-07-03 1981-06-10 Process for forming a metallurgy interconnection system
EP81104439A EP0043458B1 (en) 1980-07-03 1981-06-10 Process for forming a metallurgy interconnection system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US06/165,537 US4307179A (en) 1980-07-03 1980-07-03 Planar metal interconnection system and process

Publications (1)

Publication Number Publication Date
US4307179A true US4307179A (en) 1981-12-22

Family

ID=22599326

Family Applications (1)

Application Number Title Priority Date Filing Date
US06/165,537 Expired - Lifetime US4307179A (en) 1980-07-03 1980-07-03 Planar metal interconnection system and process

Country Status (4)

Country Link
US (1) US4307179A (en)
EP (1) EP0043458B1 (en)
JP (1) JPS5730348A (en)
DE (1) DE3163966D1 (en)

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4385975A (en) * 1981-12-30 1983-05-31 International Business Machines Corp. Method of forming wide, deep dielectric filled isolation trenches in the surface of a silicon semiconductor substrate
US4387145A (en) * 1981-09-28 1983-06-07 Fairchild Camera & Instrument Corp. Lift-off shadow mask
US4389281A (en) * 1980-12-16 1983-06-21 International Business Machines Corporation Method of planarizing silicon dioxide in semiconductor devices
US4396460A (en) * 1981-06-10 1983-08-02 Hitachi, Ltd. Method of forming groove isolation in a semiconductor device
US4483478A (en) * 1981-09-11 1984-11-20 Rockwell International Corporation Method for fabricating superplastically formed/diffusion bonded aluminum or aluminum alloy structures
US4544445A (en) * 1983-03-18 1985-10-01 Commissariat A L'energie Atomique Process for positioning an interconnection line on an electric contact hole of an integrated circuit
US4575402A (en) * 1985-02-13 1986-03-11 Hewlett-Packard Company Method for fabricating conductors in integrated circuits
US4576900A (en) * 1981-10-09 1986-03-18 Amdahl Corporation Integrated circuit multilevel interconnect system and method
US4582563A (en) * 1983-11-28 1986-04-15 Kabushiki Kaisha Toshiba Process for forming multi-layer interconnections
US4614706A (en) * 1980-05-19 1986-09-30 Hitachi, Ltd. Method of forming a microscopic pattern with far UV pattern exposure, alkaline solution development, and dry etching
US4642163A (en) * 1983-02-23 1987-02-10 International Business Machines Corporation Method of making adhesive metal layers on substrates of synthetic material and device produced thereby
US4645733A (en) * 1983-11-10 1987-02-24 Sullivan Donald F High resolution printed circuits formed in photopolymer pattern indentations overlaying printed wiring board substrates
US4662986A (en) * 1985-06-27 1987-05-05 Signetics Corporation Planarization method and technique for isolating semiconductor islands
US4689113A (en) * 1986-03-21 1987-08-25 International Business Machines Corporation Process for forming planar chip-level wiring
US4746621A (en) * 1986-12-05 1988-05-24 Cornell Research Foundation, Inc. Planar tungsten interconnect
US4758306A (en) * 1987-08-17 1988-07-19 International Business Machines Corporation Stud formation method optimizing insulator gap-fill and metal hole-fill
US4907066A (en) * 1986-12-05 1990-03-06 Cornell Research Foundation, Inc. Planar tungsten interconnect with implanted silicon
US4983255A (en) * 1985-05-21 1991-01-08 Heinrich Gruenwald Process for removing metallic ions from items made of glass or ceramic materials
US5087322A (en) * 1990-10-24 1992-02-11 Cornell Research Foundation, Inc. Selective metallization for high temperature semiconductors
US5130229A (en) * 1990-04-26 1992-07-14 International Business Machines Corporation Multi layer thin film wiring process featuring self-alignment of vias
GB2257804A (en) * 1991-06-24 1993-01-20 Sgs Thomson Microelectronics Process for the manufacture of calibration structures
US5219669A (en) * 1990-04-26 1993-06-15 International Business Machines Corporation Layer thin film wiring process featuring self-alignment of vias
US5452166A (en) * 1993-10-01 1995-09-19 Applied Magnetics Corporation Thin film magnetic recording head for minimizing undershoots and a method for manufacturing the same
US5516625A (en) * 1993-09-08 1996-05-14 Harris Corporation Fill and etchback process using dual photoresist sacrificial layer and two-step etching process for planarizing oxide-filled shallow trench structure
US5565707A (en) * 1994-10-31 1996-10-15 International Business Machines Corporation Interconnect structure using a Al2 Cu for an integrated circuit chip
US5916453A (en) * 1996-09-20 1999-06-29 Fujitsu Limited Methods of planarizing structures on wafers and substrates by polishing
US6150072A (en) * 1997-08-22 2000-11-21 Siemens Microelectronics, Inc. Method of manufacturing a shallow trench isolation structure for a semiconductor device
US6362092B1 (en) * 1998-06-17 2002-03-26 United Microelectronics Corp. Planarization method on a damascene structure
CN109791874A (en) * 2016-09-22 2019-05-21 瓦里安半导体设备公司 The technology of user's tropism ion formation patterned features

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4407695A (en) * 1981-12-31 1983-10-04 Exxon Research And Engineering Co. Natural lithographic fabrication of microstructures over large areas
GB2141582B (en) * 1983-06-16 1986-10-29 Plessey Co Plc A method of producing a layered structure
JPS60150508A (en) * 1984-01-18 1985-08-08 日本写真印刷株式会社 Method of producing transparent electrode board
US4552615A (en) * 1984-05-21 1985-11-12 International Business Machines Corporation Process for forming a high density metallurgy system on a substrate and structure thereof
JPS6274350U (en) * 1985-10-30 1987-05-13
GB2230871A (en) * 1989-04-11 1990-10-31 Coates Brothers Plc Making metal patterns.
JPH05335719A (en) * 1992-05-29 1993-12-17 Sumitomo Electric Ind Ltd Manufacture of wiring substrate
US5529681A (en) * 1993-03-30 1996-06-25 Microparts Gesellschaft Fur Mikrostrukturtechnik Mbh Stepped mould inserts, high-precision stepped microstructure bodies, and methods of producing the same
DE4310296A1 (en) * 1993-03-30 1994-10-06 Microparts Gmbh Process for producing stepped mold inserts, stepped mold inserts and molded stepped microstructure bodies with high precision
KR0137579B1 (en) * 1994-11-30 1998-06-01 김주용 Fabrication method of plug in semiconductor device
CN107920418A (en) * 2016-10-10 2018-04-17 上海和辉光电有限公司 A kind of flexible base board manufacture method

Citations (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2559389A (en) * 1942-04-02 1951-07-03 Keuffel & Esser Co Method of producing precision images
US3395057A (en) * 1964-12-08 1968-07-30 G T Schjeladhl Company Method for etching polyiminde plastic film
US3506506A (en) * 1967-07-14 1970-04-14 Ibm Capacitor defect isolation
US3544400A (en) * 1967-05-29 1970-12-01 Organocerams Inc Method and maskant composition for chemical milling or plating
US3700497A (en) * 1967-09-15 1972-10-24 Rca Corp Method of making a semiconductor device including a polyimide resist film
US3715250A (en) * 1971-03-29 1973-02-06 Gen Instrument Corp Aluminum etching solution
US3820994A (en) * 1972-06-07 1974-06-28 Westinghouse Electric Corp Penetration of polyimide films
US3837907A (en) * 1972-03-22 1974-09-24 Bell Telephone Labor Inc Multiple-level metallization for integrated circuits
US3844831A (en) * 1972-10-27 1974-10-29 Ibm Forming a compact multilevel interconnection metallurgy system for semi-conductor devices
US3846166A (en) * 1971-09-25 1974-11-05 Hitachi Ltd Method of producing multilayer wiring structure of integrated circuit
US3849136A (en) * 1973-07-31 1974-11-19 Ibm Masking of deposited thin films by use of a masking layer photoresist composite
US3873361A (en) * 1973-11-29 1975-03-25 Ibm Method of depositing thin film utilizing a lift-off mask
US3976524A (en) * 1974-06-17 1976-08-24 Ibm Corporation Planarization of integrated circuit surfaces through selective photoresist masking
US3982943A (en) * 1974-03-05 1976-09-28 Ibm Corporation Lift-off method of fabricating thin films and a structure utilizable as a lift-off mask
US3985597A (en) * 1975-05-01 1976-10-12 International Business Machines Corporation Process for forming passivated metal interconnection system with a planar surface
US3991231A (en) * 1974-03-29 1976-11-09 Siemens Aktiengesellschaft Process for the production of circuit boards by a photo-etching method
US4004044A (en) * 1975-05-09 1977-01-18 International Business Machines Corporation Method for forming patterned films utilizing a transparent lift-off mask
US4025411A (en) * 1974-10-25 1977-05-24 Hitachi, Ltd. Fabricating semiconductor device utilizing a physical ion etching process
US4035276A (en) * 1976-04-29 1977-07-12 Ibm Corporation Making coplanar layers of thin films
US4038110A (en) * 1974-06-17 1977-07-26 Ibm Corporation Planarization of integrated circuit surfaces through selective photoresist masking
US4040891A (en) * 1976-06-30 1977-08-09 Ibm Corporation Etching process utilizing the same positive photoresist layer for two etching steps
US4040893A (en) * 1976-04-12 1977-08-09 General Electric Company Method of selective etching of materials utilizing masks of binary silicate glasses
US4057659A (en) * 1974-06-12 1977-11-08 Siemens Aktiengesellschaft Semiconductor device and a method of producing such device
US4076575A (en) * 1976-06-30 1978-02-28 International Business Machines Corporation Integrated fabrication method of forming connectors through insulative layers
US4098637A (en) * 1975-09-03 1978-07-04 Siemens Aktiengesellschaft Process for the production of a planar conductor path system for integrated semiconductor circuits
US4113550A (en) * 1974-08-23 1978-09-12 Hitachi, Ltd. Method for fabricating semiconductor device and etchant for polymer resin
US4152195A (en) * 1976-08-27 1979-05-01 International Business Machines Corporation Method of improving the adherence of metallic conductive lines on polyimide layers
US4184909A (en) * 1978-08-21 1980-01-22 International Business Machines Corporation Method of forming thin film interconnection systems

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR1569479A (en) * 1967-07-13 1969-05-30
US3461357A (en) * 1967-09-15 1969-08-12 Ibm Multilevel terminal metallurgy for semiconductor devices
JPS48103279A (en) * 1972-04-12 1973-12-25
FR2204940B1 (en) * 1972-10-27 1976-01-30 Thomson Csf Fr
JPS5144877A (en) * 1974-10-16 1976-04-16 Tokyo Shibaura Electric Co Handotaisochino seizohoho

Patent Citations (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2559389A (en) * 1942-04-02 1951-07-03 Keuffel & Esser Co Method of producing precision images
US3395057A (en) * 1964-12-08 1968-07-30 G T Schjeladhl Company Method for etching polyiminde plastic film
US3544400A (en) * 1967-05-29 1970-12-01 Organocerams Inc Method and maskant composition for chemical milling or plating
US3506506A (en) * 1967-07-14 1970-04-14 Ibm Capacitor defect isolation
US3700497A (en) * 1967-09-15 1972-10-24 Rca Corp Method of making a semiconductor device including a polyimide resist film
US3715250A (en) * 1971-03-29 1973-02-06 Gen Instrument Corp Aluminum etching solution
US3846166A (en) * 1971-09-25 1974-11-05 Hitachi Ltd Method of producing multilayer wiring structure of integrated circuit
US3837907A (en) * 1972-03-22 1974-09-24 Bell Telephone Labor Inc Multiple-level metallization for integrated circuits
US3820994A (en) * 1972-06-07 1974-06-28 Westinghouse Electric Corp Penetration of polyimide films
US3844831A (en) * 1972-10-27 1974-10-29 Ibm Forming a compact multilevel interconnection metallurgy system for semi-conductor devices
US3849136A (en) * 1973-07-31 1974-11-19 Ibm Masking of deposited thin films by use of a masking layer photoresist composite
US3873361A (en) * 1973-11-29 1975-03-25 Ibm Method of depositing thin film utilizing a lift-off mask
US3982943A (en) * 1974-03-05 1976-09-28 Ibm Corporation Lift-off method of fabricating thin films and a structure utilizable as a lift-off mask
US3991231A (en) * 1974-03-29 1976-11-09 Siemens Aktiengesellschaft Process for the production of circuit boards by a photo-etching method
US4057659A (en) * 1974-06-12 1977-11-08 Siemens Aktiengesellschaft Semiconductor device and a method of producing such device
US3976524A (en) * 1974-06-17 1976-08-24 Ibm Corporation Planarization of integrated circuit surfaces through selective photoresist masking
US4038110A (en) * 1974-06-17 1977-07-26 Ibm Corporation Planarization of integrated circuit surfaces through selective photoresist masking
US4113550A (en) * 1974-08-23 1978-09-12 Hitachi, Ltd. Method for fabricating semiconductor device and etchant for polymer resin
US4025411A (en) * 1974-10-25 1977-05-24 Hitachi, Ltd. Fabricating semiconductor device utilizing a physical ion etching process
US3985597A (en) * 1975-05-01 1976-10-12 International Business Machines Corporation Process for forming passivated metal interconnection system with a planar surface
US4004044A (en) * 1975-05-09 1977-01-18 International Business Machines Corporation Method for forming patterned films utilizing a transparent lift-off mask
US4098637A (en) * 1975-09-03 1978-07-04 Siemens Aktiengesellschaft Process for the production of a planar conductor path system for integrated semiconductor circuits
US4040893A (en) * 1976-04-12 1977-08-09 General Electric Company Method of selective etching of materials utilizing masks of binary silicate glasses
US4035276A (en) * 1976-04-29 1977-07-12 Ibm Corporation Making coplanar layers of thin films
US4040891A (en) * 1976-06-30 1977-08-09 Ibm Corporation Etching process utilizing the same positive photoresist layer for two etching steps
US4076575A (en) * 1976-06-30 1978-02-28 International Business Machines Corporation Integrated fabrication method of forming connectors through insulative layers
US4152195A (en) * 1976-08-27 1979-05-01 International Business Machines Corporation Method of improving the adherence of metallic conductive lines on polyimide layers
US4184909A (en) * 1978-08-21 1980-01-22 International Business Machines Corporation Method of forming thin film interconnection systems

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
J. J. Colacino and T. A. Bartush, "Removal of Quartz Spikes _Over Metal Lands," IBM TDB, vol. 20, No. 4, Sep. 1977, p. 1381. *

Cited By (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4614706A (en) * 1980-05-19 1986-09-30 Hitachi, Ltd. Method of forming a microscopic pattern with far UV pattern exposure, alkaline solution development, and dry etching
US4389281A (en) * 1980-12-16 1983-06-21 International Business Machines Corporation Method of planarizing silicon dioxide in semiconductor devices
US4396460A (en) * 1981-06-10 1983-08-02 Hitachi, Ltd. Method of forming groove isolation in a semiconductor device
US4483478A (en) * 1981-09-11 1984-11-20 Rockwell International Corporation Method for fabricating superplastically formed/diffusion bonded aluminum or aluminum alloy structures
US4387145A (en) * 1981-09-28 1983-06-07 Fairchild Camera & Instrument Corp. Lift-off shadow mask
US4576900A (en) * 1981-10-09 1986-03-18 Amdahl Corporation Integrated circuit multilevel interconnect system and method
US4385975A (en) * 1981-12-30 1983-05-31 International Business Machines Corp. Method of forming wide, deep dielectric filled isolation trenches in the surface of a silicon semiconductor substrate
US4642163A (en) * 1983-02-23 1987-02-10 International Business Machines Corporation Method of making adhesive metal layers on substrates of synthetic material and device produced thereby
US4544445A (en) * 1983-03-18 1985-10-01 Commissariat A L'energie Atomique Process for positioning an interconnection line on an electric contact hole of an integrated circuit
US4645733A (en) * 1983-11-10 1987-02-24 Sullivan Donald F High resolution printed circuits formed in photopolymer pattern indentations overlaying printed wiring board substrates
US4582563A (en) * 1983-11-28 1986-04-15 Kabushiki Kaisha Toshiba Process for forming multi-layer interconnections
US4575402A (en) * 1985-02-13 1986-03-11 Hewlett-Packard Company Method for fabricating conductors in integrated circuits
US4983255A (en) * 1985-05-21 1991-01-08 Heinrich Gruenwald Process for removing metallic ions from items made of glass or ceramic materials
US4662986A (en) * 1985-06-27 1987-05-05 Signetics Corporation Planarization method and technique for isolating semiconductor islands
US4689113A (en) * 1986-03-21 1987-08-25 International Business Machines Corporation Process for forming planar chip-level wiring
EP0239756A1 (en) * 1986-03-21 1987-10-07 International Business Machines Corporation Process for forming planar chip-level wiring
US4746621A (en) * 1986-12-05 1988-05-24 Cornell Research Foundation, Inc. Planar tungsten interconnect
US4907066A (en) * 1986-12-05 1990-03-06 Cornell Research Foundation, Inc. Planar tungsten interconnect with implanted silicon
US4758306A (en) * 1987-08-17 1988-07-19 International Business Machines Corporation Stud formation method optimizing insulator gap-fill and metal hole-fill
US5219669A (en) * 1990-04-26 1993-06-15 International Business Machines Corporation Layer thin film wiring process featuring self-alignment of vias
US5130229A (en) * 1990-04-26 1992-07-14 International Business Machines Corporation Multi layer thin film wiring process featuring self-alignment of vias
US5087322A (en) * 1990-10-24 1992-02-11 Cornell Research Foundation, Inc. Selective metallization for high temperature semiconductors
GB2257804A (en) * 1991-06-24 1993-01-20 Sgs Thomson Microelectronics Process for the manufacture of calibration structures
US5332470A (en) * 1991-06-24 1994-07-26 Sgs-Thomson Microelectronics S.R.L. Process for manufacturing calibration structures particularly for the calibration of machines for measuring alignment in integrated circuits in general
GB2257804B (en) * 1991-06-24 1995-01-04 Sgs Thomson Microelectronics Photolithographic process for manufacturing calibration strctures
US5516625A (en) * 1993-09-08 1996-05-14 Harris Corporation Fill and etchback process using dual photoresist sacrificial layer and two-step etching process for planarizing oxide-filled shallow trench structure
US5452166A (en) * 1993-10-01 1995-09-19 Applied Magnetics Corporation Thin film magnetic recording head for minimizing undershoots and a method for manufacturing the same
US5675461A (en) * 1993-10-01 1997-10-07 Applied Magnetics Corporation Thin film magnetic recording head for minimizing undershoots
US5715597A (en) * 1993-10-01 1998-02-10 Applied Magnetics Corporation Method for manufacturing thin film magnetic head
US5565707A (en) * 1994-10-31 1996-10-15 International Business Machines Corporation Interconnect structure using a Al2 Cu for an integrated circuit chip
US5925933A (en) * 1994-10-31 1999-07-20 International Business Machines Corporation Interconnect structure using Al2 -Cu for an integrated circuit chip
US6110819A (en) * 1994-10-31 2000-08-29 International Business Machines Corporation Interconnect structure using Al2 Cu for an integrated circuit chip
US5916453A (en) * 1996-09-20 1999-06-29 Fujitsu Limited Methods of planarizing structures on wafers and substrates by polishing
US6733685B2 (en) 1996-09-20 2004-05-11 Fujitsu Limited Methods of planarizing structures on wafers and substrates by polishing
US6150072A (en) * 1997-08-22 2000-11-21 Siemens Microelectronics, Inc. Method of manufacturing a shallow trench isolation structure for a semiconductor device
US6362092B1 (en) * 1998-06-17 2002-03-26 United Microelectronics Corp. Planarization method on a damascene structure
CN109791874A (en) * 2016-09-22 2019-05-21 瓦里安半导体设备公司 The technology of user's tropism ion formation patterned features
CN109791874B (en) * 2016-09-22 2022-11-29 瓦里安半导体设备公司 Methods of patterning a substrate and layers disposed thereon and forming device structures

Also Published As

Publication number Publication date
JPS6122466B2 (en) 1986-05-31
JPS5730348A (en) 1982-02-18
EP0043458A2 (en) 1982-01-13
EP0043458A3 (en) 1982-06-16
DE3163966D1 (en) 1984-07-12
EP0043458B1 (en) 1984-06-06

Similar Documents

Publication Publication Date Title
US4307179A (en) Planar metal interconnection system and process
US3985597A (en) Process for forming passivated metal interconnection system with a planar surface
US6080526A (en) Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
US4665007A (en) Planarization process for organic filling of deep trenches
US4367119A (en) Planar multi-level metal process with built-in etch stop
US4986878A (en) Process for improved planarization of the passivation layers for semiconductor devices
US4816115A (en) Process of making via holes in a double-layer insulation
US4396458A (en) Method for forming planar metal/insulator structures
JPH0719775B2 (en) Method for selectively masking sidewall portions of a concave structure formed in a semiconductor body
CA1155736A (en) Method of etching polyimide
AU581110B2 (en) Method for forming vertical interconnects in polyimide insulating layers
JPH08501904A (en) Chip interconnect with breathable etch stop layer
JPS61107727A (en) Formation of metal contact stud on semiconductor device
US4447824A (en) Planar multi-level metal process with built-in etch stop
JPS6197930A (en) Formation of pattern polymer dielectric layer employing polymerizable oligomer and polymerizable oligomer itself
US6407007B1 (en) Method to solve the delamination of a silicon nitride layer from an underlying spin on glass layer
JP2553079B2 (en) Via formation method
US4089766A (en) Method of passivating and planarizing a metallization pattern
EP0313814A2 (en) Organic sidewall structures
US4655874A (en) Process for smoothing a non-planar surface
CA1308609C (en) Planarization through silylation
JPH09241518A (en) Resin composition and method for forming multilayer interconnection
US6660645B1 (en) Process for etching an organic dielectric using a silyated photoresist mask
EP0266522B1 (en) Polyimide stud transfer process
KR100244410B1 (en) Method for forming inter-layer insulating film in semiconductor device

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE